查看原文
其他

光刻设备行业深度研究:半导体设备之巅,冰山峰顶待国产曙光

(报告出品:财通证券)

1 光刻设备:半导体制造的核心装备

1.1 光刻:决定芯片性能最关键工艺

自 1958 年第一块集成电路诞生以来,其工艺技术持续高速发展。随着集成电路工 艺制程的不断升级,晶体管集成度不断提高;观察到这一行业发展态势,英特尔 创始人之一的戈登.摩尔(Gordon Moore)提出:当价格不变时,芯片容纳的晶 体管数大约每 18 个月到 24 个月翻倍,这就是著名的摩尔定律。芯片集成密度与 可靠性的不断提升,推动了从大型机到个人电脑,再到移动终端、物联网、人工 智能的电子工业的革命。

自 1960 年代以来,芯片性能的发展整体遵循摩尔定律。但高速持续发展并非自然 而然的,而是蕴含着集成电路设计、芯片生产、电子材料、半导体设备行业长期 的研发积累与不断改进。改进分为两大类:工艺和结构。工艺的改进以更小的尺 寸来制造器件和电路,并使之具有更高的密度、更多的元器件数量和更高的可靠 性;器件结构设计上的创新使电路的性能更好,实现更佳的能耗控制和更高的可 靠性。无论是缩小尺寸还是构造创新,均需要以光刻机为核心的半导体设备支持;作为芯片制造的工业母机,光刻机等设备历经了数次重大升级革新。

光刻、刻蚀、薄膜沉积,同为集成电路制造的三大工艺;其他的步骤则包括清洗、 热处理、离子注入、化学机械抛光、量测等。光刻是将设计好的图形从掩模版或倍缩掩模版,转印到晶圆表面的光刻胶上所使 用的技术。光刻技术最先应用于印刷工业,并长期用于制造印刷电路板。半导体产 业在 1950 年代开始采用光刻技术制造晶体管和集成电路。集成电路制造都是利用 刻蚀、沉积、离子注入将描绘在光刻胶上的图形转移到晶圆表面,故晶圆表面的 光刻胶图案是最基础的电路图案。描绘在晶圆上的最基本电路结构由光刻产生,因 此光刻是集成电路生产中最重要的技术。

完整的光刻工艺包括多个细分步骤:1.气相成底膜和增粘:对原始硅片清洗、脱 水,并涂抹增粘剂。2.旋转涂胶:对晶圆表面做光刻胶涂覆,实现指定的厚度和 均匀性,并把边缘和背面多余的光刻胶清洗掉。3.软烘:去除光刻胶中的溶剂。4.对准和曝光:将掩膜版和晶圆精确对准后进行曝光。5.曝光后烘焙:通过一定 温度激发曝光产生的酸,使部分光刻胶溶于显影液并提高显影的分辨率。6.显影:喷涂显影液,溶解光刻胶上被光照射过的区域,形成电路图形。7.坚膜烘焙:热 烘进一步去除残留的光刻胶溶剂,并提高光刻胶的粘性。8.显影检查:检测显影 后的电路图案,如果不符合要求需重新进行光刻步骤。现代集成电路一般由多层结构组成,在芯片的生产中,需多次重复光刻、刻蚀、 沉积等步骤,层层成形并最终形成完整的集成电路结构。

光刻机是光刻步骤的核心设备,也是技术难度和单价最高的半导体设备。荷兰 ASML 公司的光刻机供应链包括全球各地 5000 家供应商,应用到了光学、电磁学、 材料学、流体力学、化学等领域最尖端的研究成果。同时,光刻机集成了精密自 动化机械、高性能仿真软件、高灵敏度传感器、图像识别算法等多个子模块, 光刻技术是集成电路制造的核心。从原始的硅片起到键合垫片的刻蚀和去光刻胶 为止, 即使最简单的 MOS IC 芯片都需要 5 道光刻工艺, 先进的集成电路芯片可 能需要 30 道光刻工艺步骤。集成电路制造非常耗时, 即使一天 24 小时无间断地 工作, 都需要 6 ~ 8 周时间完成芯片,光刻工艺技术就耗费了整个晶圆制造时间的 40% ~50%。

此外在光刻工艺中,涂胶显影设备、量测设备、光刻计算软件系统与光刻机配套 运行。涂胶显影设备具备增粘处理、光刻胶(也包括抗反射层和抗水涂层)涂布、烘烤、 显影液喷涂、晶圆背面清洗和去边、浸没式光刻工艺中晶圆表面去离子水冲洗(水 渍消除)等功能。涂胶显影设备的工作性能和工艺质量,直接影响到光刻的良率。

量测设备对光刻后电路图形的套刻误差(若干次光刻之间)、关键尺寸进行测量, 并扫描识别图案缺陷,监控工艺质量并,将信息反馈给光刻计算系统以改善工艺。光刻计算系统是光刻步骤的神经控制中枢:它能够依据给定的部分参数,对光刻 的工艺流程、材料、环境进行高精度仿真,预测光刻的结果,节省大量试错的成本。同时,光刻计算系统也会根据量测设备反馈的测量参数,调整光刻设备的光 照、聚焦、掩膜系统的各项设置参数。

除了各类设备之外,光刻工艺中所使用到的光刻胶、掩膜版、电子特气等也具有 较高的技术壁垒。光刻胶(Photoresist)是指通过紫外光、电子束、离子束、X 射线等照射,其溶解 度发生变化的耐蚀剂刻薄膜材料。由感光树脂、增感剂和溶剂 3 种主要成分组成 的对光敏感的混合液体。曝光后的光刻胶经过显影液处理后,会留下所需要的电 路图案。光刻掩膜版(光罩 Mask Reticle),是光刻工艺所使用的图形母版。由不透明的 遮光薄膜在透明基板上形成掩膜图形结构,通过曝光过程将掩膜版上图形信息转 移到光刻胶图形上。光刻用电子特气主要包括 Ar/Ne/Xe、Kr/Ne、F2/Kr/Ne、F2/Ar/Ne。光刻气中的惰 性气体和卤素气体在受到电子束激发后所形成的准分子发生电子跃迁后可产生特 定波长的光,即可产生准分子激光。

1.2 光刻图谱:多种路线并存,扫描式光刻为主流

半导体生产中,光刻技术的发展经历了多个阶段。接触/接近式光刻、光学投影光 刻、分步(重复)投影光刻出现时间较早。集成电路生产主要采用扫描式光刻、 浸没式扫描光刻、极紫外光刻的工艺。此外,X 射线/电子束光刻、纳米压印、激 光直写技术可能是未来的技术突破方向。

1.2.1 接触/接近式光刻机(Aligner):光刻设备鼻祖

1961 年美国 GCA 公司制造出了第一台接触式光刻机,掩模盖与光刻胶图层直接 接触,光线透过掩膜进行曝光时可以避免衍射。接触式光刻机的工作方式,对光 刻胶和掩模版都存在损坏和污染,生产良率低,掩模版寿命短。为解决上述问题, 产生了接近式光刻机,掩膜和表面光刻胶之间存在微小空隙。这些新设计提高了 良率和使用寿命,但是光在微小间隙中的衍射现象,使得最高分辨率只有 3 微米 左右。这一时期的光刻机厂商有 Siemens、GCA、Kasper Instruments 和 Kulick& Soffa 等,典型的芯片产品有英特尔 4004/3101。接近/接触式光刻厂家,目前还有 德国苏斯和奥地利 EVG,其设备主要服务于 MEMS、先进封装、三维封装、化合 物半导体、功率器件、太阳能领域。

1.2.2 扫描投影/重复步进光刻机(Stepper):仍满足大线宽工艺

Perkin Elmer 在 1973 年推出了 Micralign100,世界首台投影式光刻机,采用汞灯 光源,孔径数值 0.17,分辨率 2 微米。工作过程中,扫描台承载硅片与掩膜版同 步移动,汞灯发出的光线经过狭缝后成为均匀的照明光,透过掩膜将图案投影在 光刻胶上。其对称的光路设计可以消除球面镜产生的大部分像差, Micralign 让芯 片生产的良率,从 10%提升到了 70%。

为了满足更高的进度要求,1978 年,美国 GCA 公司推出了首台步进重复投影光刻 机。步进重复光刻机不需要实现掩模和圆片同步反向扫描,在结构上不需要扫描掩模 台和同步扫描控制系统,因而结构相对简单,成本相对较低,性能更加稳定。同 时,由于其采用缩小倍率的物镜(4:1 或 5:1 或 10:1),降低了掩膜版的制作难度, 能够满足 0.25 微米以上线宽制程的工艺要求。目前,步进重复光刻机仍然广泛应 用在非关键层、封装等领域,采用 g 线或 i 线光源,少数高端设备采用 KrF 光源。

上海微电子装备公司于 2009 年开发 SSB500 系列步进重复光刻机,2015 年在封装 领域市占率已达 40%。

1.2.3 步进扫描光刻机(Scanner):主流光刻设备通用

集成电路工艺制程达到 0.25 微米后,步进扫描式光刻机的扫描曝光视场尺寸与曝 光均匀性更具优势,逐步成为主流光刻设备。其利用 26mm x 8mm 的狭缝,采用 动态扫描的方式(掩膜版与晶圆片同步运动),已经可以实现 26mm x 33mm 的曝 光场。当前曝光场扫描完毕后,转移至下一曝光场,直至整个晶圆片曝光完毕。

通过配置不同类型的光源(I 线、KrF、ArF,EUV),步进扫描光刻机可以支持所 有集成电路工艺节点;但为满足高端工艺节点的性能要求,每一代步进扫描光刻 机都历经了重大技术升级。例如:步进扫描式光刻机 26mm x 8mm 的静态曝光场 相对较小,降低了物镜系统制造的难度;但其工件台与掩膜台反向运动的动态扫 描方式,提升了对运动系统的性能要求。对此,荷兰 ASML 公司于 2001 年首次推 出了双工件台,满足先进工艺的的速度、精度、稳定性要求。

1.3 各项革新推向光刻性能巅峰

自 1990 年美国 SVGL 公司推出 Micrascan I 步进扫描光刻机以来,全球主流光刻 机厂商均采用步进扫描光刻原理。这其中,DUV 步进扫描光刻机包揽 7 纳米及之 前的全部工艺制程。在 1990 到之后的这近 30 年时间里,集成电路制造工艺水平 已经发生翻天覆地的变化。而为了满足先进制程的各项要求,光刻机除了之前提 到的双工件台外,还采用了多项其他重大革新。

更高端的工艺制程的集成电路,具有更小的线宽,这就需要光刻机具有更高的曝 光分辨率。此时就需提到决定光刻分辨率的公式 R=K1・λ/Na。其中,K1 为工艺 因子常数,与照明方式、掩膜类型、光刻胶显影性能等参数相关; λ为光源波长;Na 为物镜的孔径数值。光刻机不断提高物镜的孔径数值,并采用波长更短的光源 来提高分辨率水平。

SVGL 公司于 1993 年推出的 Micrascan II 型光刻机,采用 250nm 汞灯光源,分辨 率为 350nm,孔径数值为 1.35。1995 年,日本尼康推出全球首台采用 248nm 的 KrF 光源的光刻机,分辨率达到 250nm;并于 1999 年推出首台采用 193nm 的干式 ArF 光源的光刻机 NSR-S302A,分辨率小于 180 纳米。在此之后,光源波长一直停滞 在 193nm 水平,提升分辨率主要依赖改良物镜,提升孔径数值。针对如何进一步提升分辨率的问题上,各厂家产生技术争议。日本企业计划采用 157nm 的 F2 光源;荷兰 ASML 决定采用台积电研发副总监林本坚提出的,在物 镜镜头和晶圆之间增加去离子水增大折射率的设想。ASML 于 2004 年推出首台浸 没式光刻机(ArFi)TWINSCAN AT 1150i,获得客户迅速认可,市场份额得以快 速攀升。

采用浸没式系统的光刻机,其入射到晶圆表面的光线等效为 134nm 的波长,叠加 物镜的不断改进(孔径数值 NA 最高可达 1.35),整机的半周期分辨率(half-pitch) 提升到了小于 38 纳米的级别,可满足 28 纳米工艺需求。但当制程等级达到 22 纳 米级别时,光刻机的分辨率也已力不从心,各大晶圆厂分分引入了多重膜版工艺。

多重掩膜版工艺有多个细分类,其中双重曝光(DE)在 28 纳米节点首先启用,用 于改善图形质量。此外,曝光-固化-曝光-刻蚀(LFLE)、双重光刻(LELE)、三重 光刻(LELELE),自对准多重图形(SAMP)技术陆续在 14/16nm-7nm 工艺节点 发挥了重要作用。多重掩膜版工艺的发展,对光刻设备提出了更高的要求。

首先,为保证两次光刻之间的精准对齐(否则会产生电路错位或高度均匀性偏差), 光刻机需要严格控制套刻误差;为此光刻机升级采用更精确的对准系统和运动系 统,也配备了更高等级的套刻误差测量设备。其次因为采用双重光刻(LELE)等使每次曝光的图案间距增大一倍,但是对图案 本身线宽的要求并没有降低。对此,光刻机需要更好的图案质量和稳定性,更小 的光学畸变。

针对 5 纳米及以下的制程节点,分辨率更高极紫外光刻机(EUV)成为必需设备。因为当工艺节点达到 7 纳米等级后,自对准四重图形(SAQP)等成为光刻工艺的 主流方案,也产生了相关技术难题。首先,自对准四重图形和三重光刻包含大量 配套的刻蚀、薄膜沉积、去胶和膜层剥离等步骤,工艺复杂程度急剧提升,保持 良率难度大。其次多重曝光所采用的 193nm 光源本身的分辨率极限,其成像能力 不满足 5 纳米或更高等级制程需求。EUV 光刻机也可降低 10-7 纳米等级芯片生产 的复杂程度。

与 DUV 使用的准分子激光光源不同,EUV 光刻采用 13.5nm 波长的离子体光源。这种光源是通过二氧化碳激光器轰击雾化的锡(Sn)金属液滴,将它们蒸发成等 离子体,通过高价锡离子能级间的跃迁获得的。由于 EUV 光线波长短很容易被空气吸收,所以工作环境需要被抽成真空,也无法 被玻璃透镜折射。硅与钼镀膜的布拉格反射器(Bragg reflector,一种多层镜面, 可以将很多小的反射集中成一个更强的反射)取代了原有的物镜。德国光学公司 蔡司(Zeiss)生产世界上最平坦的镜面,使得 EUV 光线经过多次反射后能够精准 的投射到晶圆上。目前 ASML 最先进的 EUV 设备为 NXE 3600D,分辨率达到 13 纳米,适用于 3-5 纳米芯片制程,未来计划通过进一步提升孔径数值来提高分辨 率水平。

1.4 电子束、纳米压印:潜在的另辟蹊径

电子束/激光直写技术使用带电粒子/激光直接轰击对象表面,在目标基片上一次形成纳米图案构造,无需制备价格昂贵的掩膜版,生产准备周期较短。这其中激光 直写光刻已经运用到了 PCB 制造中。电子束光刻具有极高的分辨率(10 纳米等 级)和曝光精度,有望成为 EUV 光刻之外的另一种选择。目前电子束光刻的技术 局限是工作效率较低,无法运用在大规模集成电路生产中;后续的多电子束光刻 有望在未来解决这一问题。纳米压印采用电子束等技术将电路图案刻制在掩膜上,然后通过掩膜使得对象上 的聚合物变形,再采用某种方式使得聚合物固化,进而完成图案的转移。纳米压 印具备分辨率高,成本低的特点;但其同时存在刻套误差大,缺陷率高,掩膜版 易被污染的技术问题。

2 光刻机:多种先进系统的精准组合

2.1 光刻机的整体结构

光刻机是最复杂的工业产品之一,其本体由照明、投影物镜、工件台、掩模台、 对准与测量、掩模传输、晶圆传输等主要系统组成。此外,还有环境与电气系统、 光刻计算(OPC)与掩膜优化(SMO)软件、显影涂胶设备提供支持。主要性能 指标有分辨率、套刻精度和产率。随着集成电路的发展,光刻机各个系统不断优化升级,双工件台技术与浸液技术 相继被采用,采用全反射式光学系统的极紫外光刻机已经用于量产。为了满足不 断提升的性能指标要求,光刻机的各个组成系统不断突破光学、精密机械、材料 等领域的技术瓶颈,实现了多项高精尖技术的融合。

2.2 光源系统:光刻机的能量源泉

i 线(365nm 波长)及以上波长光刻机使用的光源是高压汞灯。高压汞灯能提供 254~579nm波长的光。使用滤波器可以选择性的使用 i 线(365nm)、H 线(405nm) 或 G 线(436nm)为光刻机提供照明光源。

KrF 和 ArF/ArFi 光刻机使用准分子激光器作为光源,其工作的原理是:惰性气 体(Kr,Ar)在电场和高压环境下与卤族元素气体(F2,Cl2)反应生成不稳定的 准分子。激发态的准分子又不断分解,并释放深紫外(DUV)的光子。KrF 与 ArF 准分子分别释放 248nm、193nm 波长的光子。准分子激光是脉冲式的,其关键的 技术参数有脉冲的频率、输出功率、持续时间、稳定性等。光源更高输出功率,意味着曝光时间缩短和光刻机产能提高。美国 Cymer 和日本 GIGAPHOTON 的最新型光源,输出功率已达到 120W,脉冲的频率是 6000Hz, 脉冲持的续的时间在 100~150ns。

降低光源系统的能耗和激光腔更换成本,也是降低光刻成本的主要方式。DUV 光 源主要通过三种方法来降低功耗、延长激光腔使用寿命。第一是改善腔体内部件的绝缘度。气体在腔体内电极之间的流动是由风扇(CFF) 驱动的,通过改善腔体内部件的绝缘度可以降低功耗 19%。第二个是增强气体的预电离(pre-ionization)。电极之间的间距大约有 10mm 左右, 如果不对气体作预电离,很难在电极之间形成稳定的放电,也会增加电极的损耗。第三个是电极表面特殊处理。电极的损耗限制了激光腔使用寿命,损耗程度与产 生的激光脉冲次数(laser pulse)成正比。在放电时,气体中的 F 会不断腐蚀金属 制成的电极。经过特殊表面处理后的电极的抗腐蚀和抗离子溅射能力大大提高, 可以使激光腔的使用寿命增大到 600 亿次脉冲以上。

随着光刻技术对光源输出功率和频宽要求的不断提高,单激光腔结构的光源不能 满足高功率和精准频宽同时输出。双腔结构的主振荡-放大技术被引入,其基本思 想是利用主振荡腔产生小能量的窄频宽种子光,注入放大腔输出大能量脉冲,从 而得到窄频宽、大功率的优质激光输出。

激光光源在工作时,其内置的测量模块会测量各项运行参数,记录在系统中并传 输到光刻机和晶圆厂内部的数据系统中。这些状态参数包括:输出能量、波长、 频宽、束斑的形状、束斑的位置和发散度等。有些数据有助于工艺工程师监测光 刻工艺的稳定性,并及时发现各类异常。

EUV 光源是目前最先进的光源。EUV 光刻机采用的是 CO2 激发的 LPP 光源,主 要由主脉冲激光器、预脉冲激光器、光束传输系统、锡液滴靶、锡回收器、收集 镜等构成。EUV 光源的主要工作方式为:在真空腔体中,将高温熔融并加电磁场使其处于等 离子体状态的锡从喷枪中等间隔喷出,每个锡滴的大小保持在 7.5-13 微米左右。当锡滴经过中心区域时,安装在腔壁上的高分辨率相机捕捉到锡滴,反馈给计算 机。计算机综合定位控制、激光光束轴、定时控制器等系统的数据,控制激光枪 连续发射两个脉冲击中该锡滴体。第一个激光脉冲可使锡滴压扁为饼状,第二个脉冲紧随其后再次击中该锡滴,两 次高能激光脉冲可将该锡滴瞬间加热至 50000K,从而使锡原子跃升至高能态,并 回归至基态释放出 13.5nm 的紫外光,经收集镜导入到曝光系统当中。

超导磁场系统位于 EUV 腔外部,并能在 EUV 腔内产生高强度的磁场,从而保护 收集器镜面不受锡等离子体产生的高速锡离子的影响。EUV 光源的输出功率是重 要性能指标。目前最先进的 NXE 3400C 型光刻机,输出功率已达到 250w,未来有 可能升级到 300w。下一代 High-NA 光刻机计划将功率提升到 500w。

2.3 照明与物镜投影系统:精准成像

照明与投影物镜系统的精确性与稳定性,对于将掩膜版上的图案准确转移到晶圆 上,起到决定性的作用,是光刻机的核心组件。现今主流光刻机的照明与投影物 镜系统,都内置有光学调整功能组件,能够依据掩膜版的图案结合光刻优化算法, 采取最佳的曝光优化方案。光刻机整体通过照明系统、掩膜版、投影物镜、光刻 计算的互相配合,实现最佳光刻方案。

照明系统位于光源和掩模台之间,其功能是调节照明光场的空间和角谱分布,为 掩膜版提供曝光最合适的照明光场(不同掩膜版图案适用不同的照明光场)。主要 功能包括:均匀照明、变化不同的照明方式、控制晶圆的曝光剂量。晶圆表面一格点的曝光剂量是照明光场在扫描方向上的能量积分(累计值),其分 布直接影响分辨率均匀性,所以照明均匀性成为关键性能指标之一。照明系统的能量监测单元,可测量准分子激光器发出的单个脉冲能量,并调整激 光器的单脉冲能量,使累积的能量达到预定的曝光剂量。可变透过率单元,根据 曝光剂量及均匀性的要求改变光的透过率,调整照明光的光强。

早期光刻机使用衍射光学元件(DOE)来调节照明方式(光瞳形状)。激光光源发 出的光,通过准直系统变成平行光后,投射到衍射光学元件上,再被折射到指定 位置,从而形成特定的照明方式。2010 年左右生产了光源掩模协同优化(SMO) 技术,可对照明光场像素化编程,能快速生成任意照明模式。SMO 系统的核心是一个可编程微反射镜阵列,微反射镜阵列中有数千个微反射镜, 每个微反射镜都可以在照明系统光瞳面上产生一个光点。SMO 系统可控制各个微 反射镜的偏转角度,调节每个微反射镜的指向,从而得到目标光源。掩膜版图形 也会根据 SMO、光学邻近效应修正(OPC)等光刻计算软件的模拟仿真结果进行 调整。

光学邻近效应修正(OPC)系统通常与 SMO 系统组合运行。从 180 纳米制程节 点开始,集成电路中的最小线宽已经小于光源波长。曝光时相邻图形光线的干涉 和衍射效应会导致图像畸变,使得晶圆上的图形和掩模上的图形差别较大,(线条 宽度会变窄、窄线条端点会收缩、图形拐角处变圆滑)。OPC 系统依据光照条件和 电路图案,对掩模上的图形做适当修改可以补偿这种效应。

位于掩膜版和晶圆之间的投影物镜系统,也可以通过计算光刻系统与 SMO、OPC 技术相结合,实现照明、掩膜、投影物镜的协同优化,提高光刻机的成像质量。投影物镜将掩膜版图形,按照一定的缩放比例(通常是 4:1)投射到硅片面。由于 掩模图形的线宽是硅片上的 4 倍,降低了掩模制造难度、减小了掩模缺陷对光刻 的影响。但由于光源的波长不断减小,导致投影物镜的可用材料种类越来越少。大部分光学材料在深紫外(DUV)波段透过率都很低,可用材料只有熔融石英与 氟化钙,世界上只有少数几家材料供应商能够提供。

即使是采用最高等级材料制作的透镜,也不可避免地存在像差。物镜镜片长时间 曝光后的热效应、镜片的老化变形、光学元件缺陷、及透镜技术的自身光学局限 都会导致像差。其中,对像差形成影响最大的光线经过透镜后的波前畸变,波前 畸变可用泽尼克多项式描述。光刻机光学系统的设计,需要考虑 64 阶的尼克多项 式系数影响。先进集成电路光刻工艺对像差的要求非常严格。高端光刻机(浸没式/EUV)的像 差与畸变已经降低到 1 纳米以下水平。为有效控制图像畸变,光刻机的投影物镜 系统会在工作过程中,实时调整自身的光学元件。

投影物镜系统的光学元件调整机制,与 OPC、SMO 等光刻计算系统协同运作。主要的运作方式为:在光瞳附近增加可局部加热的光学元件,通过控制该元件局 部温度的变化改变材料折射率,实现高阶波像差的补偿;或是在投影物镜光路中 增加变形镜,通过控制变形镜的形变改变光程,实现高阶波像差的补偿。

EUV 光源发出的波长为 13.5 纳米的极紫外光,被几乎所有光学材料强吸收,故 EUV 光刻机的照明系统的投影物镜系统只能采用全反射式结构。EUV 的反射镜 对加工精度的要求极高,其表面镀有钼/硅多层膜及一层 2-3nm 的钌保护膜。钌膜 可以有效延缓钼/硅的氧化,降低碳在表面沉积的速率。

2.4 工件台系统:光刻产能与精确对准的关键

双工件台系统于 2000 年被荷兰 ASML 公司发明推出,被称为 TWINSCAN 系统。在双工件台系统中,两个工件台相对独立但同时运作;一个工件台承载晶圆做曝 光时,另一个工件台对晶圆做对准测量等准备工作。当第一个工件台的曝光步骤 完成后,两个工件台交换位置和功能。

双工件台的工作过程中,晶圆在测量工件台上完成晶圆片装载、三维形貌测量后, 两个工件台通过位置交换进入曝光位置,再与掩模对准后,完成扫描曝光。老式的光刻机中只有一个工件台,晶圆的上下片、测量、对准、曝光依次进行;而在双工件台光刻机中,大部分测量、校正工作可以在非曝光工件台上进行,曝 光位置的利用效率大幅提高。双工件台的发明使得光刻机的产能有了大幅度的提 高。传统的单工件台光刻机很难实现其产能超过 100WPH,而基于双工件台的 ASML 浸没式光刻机的产能已经能超过 200WPH,部分新型光刻机产能已经接近 300WPH。

双工件台设计有效提高了产能,也为光刻过程中的测量步骤预留出了更多的时间。掩模台与工件台需高精度同步运动,否则会导致成像位置偏移,降低分辨率和套 刻精度。此外,高端光刻机广泛运用在多重曝光工艺中,这些工艺对晶圆、工件 台、掩膜版之间对准精度要求极高。

晶圆和掩膜版上设计有特殊对准图形,两者位于一定范围内,光刻机的光学系统 对准才能捕捉到;这要求工件台与掩膜台具备预对准功能。工件台和晶圆有对准 标记,ATHENA 对准系统,能依据对准标记确其位置;此外工件台上设置有 TIS传感器,TIS 对准系统将掩膜上的 TIS 标记投射到工件台 TIS 传感器上,进而计算 出掩膜图形与晶圆的相对位置。TIS 与 ATHENA 对准系统主要依赖光学原理进行,更先进的对准系统采用更多波 段的光源,进一步提高对准精度。

硅片曝光过程中,工件台需要反复进行步进、加速、扫描、减速等运动。实现高 产率要求工件台具有很高的步进速度、很高的加速度与扫描速度。目前高端 ArF 光刻机套刻精度已达到 1.4nm。为实现这些指标,工件台的定位精度已达到亚纳 米量级,速度达到 1m/s,加速度达到 30m/s 或更高。此外,工件台/掩模台在高速 工件台的这些指标,对超精密机械技术提出了很高的要求。

光刻机的物镜存在聚焦深度,聚焦深度外的光刻胶无法有效曝光。因此,对掩模 图形进行曝光时,整个晶圆表面必须处于焦深之内。然而晶圆表面并不是完全平 整的,尤其是经过多次刻蚀、沉积之后。因此曝光前,必须对晶圆面进行高精度 的调焦调平。首先通过调焦调平传感器,确定最佳的焦面距离和倾斜量,然后通 过工件台进行调节,使晶圆表面待曝光区域位于焦深范围之内。先进的 ArFi 光刻 机的焦深在 100nm 以下,因此双工件台需要具备纳米级别的调节能力。

3 光刻设备市场规模大,国产亟待零的突破

3.1 芯片制程升级,光刻设备成本占比不断提升

(本文仅供参考,不代表我们的任何投资建议)

关于我们

我们是一家专注于分享国内外各类行业研究报告/专题热点行业报告/白皮书/蓝皮书/年度报告等各类优质研究报告分享平台。所有报告来源于国内外数百家机构,包含传统行业、金融娱乐、互联网+、新兴行业、医疗大健康等专题研究.....目前已累积收集近80000+份行业报告,涉及众多大板块,多个细分领域。

文琳编辑

免责声明:转载内容仅供读者参考,观点仅代表作者本人,不构成投资意见,也不代表本平台立场。若文章涉及版权问题,敬请原作者添加 wenlin-swl  微信联系删除。

为便于研究人员查找相关行业研究报告,特将2018年以来各期文章汇总。欢迎点击下面红色字体查阅!

文琳行业研究 2018年—2023年4月文章汇总

文琳编辑

今日导读:点击下面链接可查阅

公众号 :文琳行业研究

  1. 2023中国产业数字化趋势报告

  2. 数据分析服务行业研究与投资指南

  3. 数字经济产业链及相关公司深度梳理

  4. 数字经济专题报告:AI,第四次场景革命

  5. 数据中心智能化运维发展研究报告(2023年)

  6. 海康威视研究报告:数字经济航空母舰起航,AI谱写新篇章

  7. 数据中心液冷及冷却液行业分析:算力提升驱动,氟化液迎来机遇

  8. 2023年全球数字报告

▼长按2秒识别二维码关注我们


《文琳资讯》

提供每日最新财经资讯,判断经济形势,做有价值的传播者。欢迎关注

今日导读:点击下面链接可查阅

  1. 我国新冠阳性日增超6752例!又一个坏消息传来,需重视第二波高峰

  2. 重大突发!1.6万亿银行倒闭,瞬间闪崩50%!联合国警告:达到临界点!土耳其宣布:击毙

  3. 特斯拉,想做“中石化”

  4. 战争、通胀、经济与中美俄欧的地缘政治博弈

  5. 上海楼市大消息!现已实施!

  6. 日本领空被霸占,苦中作乐

▼长按2秒识别二维码关注我们

今日导读:点击下面链接可查阅

公众号 :文琳阅读

  1. 四月再见,五月你好!愿君万事胜意!

  2. 适合五一出游的小众旅行地清单来了!

  3. 长沙夜生活,不止吃喝玩乐

  4. 这部神仙纪录片把东北拍成了诗!

  5. 被片名耽误的5部超治愈电影!豆瓣8.0+

  6. 音乐欣赏:约翰·丹佛《乡村路带我回家》

  7. 心、肝、脾、肺、肾!最滋补五脏的食物表,一定要给家人存下

  8. 史上最全四六级英语固定搭配,太有用了!

▼长按2秒识别二维码关注我们
公众号 :就业与创业
点击下方可看
  1. 其实劳动节也不是用来庆祝的

  2. “未来5年全球或减少1400万份工作”

  3. 俞敏洪:许多人宁愿累死,也不愿意思考

  4. “第一次逛山姆被嘲太穷酸”:中产鄙视链,炸出了多少爱装的人



继续滑动看下一个
向上滑动看下一个

您可能也对以下帖子感兴趣

文章有问题?点此查看未经处理的缓存