查看原文
其他

半导体设备及材料行业深度研究报告:国产加速

(报告出品方/作者:国盛证券,郑震湘、佘凌星、刘嘉元)



一、半导体设备:大陆需求快速增长,国产替代加速



1.1 全球设备市场创新高,受益于资本开支提升、制程节点进步



2021 年全球半导体设备市场规模创 1026 亿美元新高,大陆首次占比全球第一。根据 SEMI,2021 年半导体设备销售额 1026 亿美元,同比激增 44%,全年销售额创历史新 高。大陆设备市场在 2013 年之前占全球比重为 10%以内,2014~2017 年提升至 10~20%,2018 年之后保持在 20%以上,份额呈逐年上行趋势。2020-2021 年,国内 晶圆厂投建、半导体行业加大投入,大陆半导体设备市场规模首次在市场全球排首位, 2021达到 296.2亿美元,同比增长 58%,占比 28.9%。展望 2022年,存储需求复苏, 韩国预计将领跑全球,但大陆设备市场规模有望保持较高比重。



北美半导体设备厂商月销售额 2021 年以来稳站 30 亿+美金。通过复盘半导体行业景 气周期历史,我们认为北美半导体设备厂商月销售额对于全球半导体行业景气度分析具 有重要意义,北美半导体设备销售额水平通常领先全球半导体销售额一个季度。2021 年 1 月,北美半导体设备厂商月销售额首次突破了 30 亿美金关口,创历史新高,达到 了 30.4 亿美金。此后月度销售额逐季创新高,至 12 月份销售额达到 39.2 亿美金,同 比增长 46%。与此同时我们看到全球半导体销售市场自 2021 年 4 月以来连续 12 个月 同比增速超过 20%,2022 年 3 月,全球半导体销售额达到 505.8 亿美金,同比增长 23.0%,展望 2022 全年,从各机构当前预测平均值来看,预计 2022 年全球半导体市场 仍将保持 10%以上同比增长。



半导体设备行业呈现明显的周期性,受下游厂商资本开支节奏变化较为明显。2017 年, 存储厂商的大幅资本开支推动半导体设备迎来巨大需求,且这一势头一直延续到 2018 年上半年。但随后产能过剩致使存储价格走低,导致 DRAM 和 NAND 厂商纷纷推迟设备 订单。存储产能过剩一直持续到 2019 年上半年,同时上半年整体半导体行业景气度不 佳,虽然下半年随着行业景气度恢复,以台积电为代表的晶圆厂陆续调高资本开支大幅 扩产,2019 年全年半导体设备需求同比仍回落约 2%。2020 年全球各地先后受疫情影 响,但存储行业资本支出修复、先进制程投资叠加数字化、5G 带来的下游各领域强劲 需求,全年设备市场同比增长 19%。伴随半导体厂商新一轮资本开支开启,2021 年全 球设备市场继续大幅增长 44%。当前海外设备龙头应用材料、泛林集团等均预计 2022 年全球设备市场规模将进一步增长。



下游资本开支提升,半导体设备周期向上。伴随着下游资本开支提升,设备厂商营业 收入增速从 2019Q2 触底后逐渐回暖。2020Q1 由于疫情冲击,产品发货推迟,导致单 季度收入增速下调。复盘 2021 年,海外龙头全年营收高增速:2021Q1:低基数高增长,北美设备出货在 2021-01 首次单月超 30 亿美元。设备厂 商营业收入增速从 2019Q2 触底后逐渐回暖。2020Q1 由于疫情冲击,产品发货推迟, 导致单季度收入增速下调。以 ASML 为例,疫情后营收增速恢复,2021Q1 半导体设备 营收增速更是达到 95.1%,ASML 表示下游对于先进的光刻设备需求有增无减。



2021Q2:晶圆制造设备龙头展望 2022 年需求强劲,核心设备在手订单超过一年。ASML 单季度收入 40 亿欧元,新增 83 亿欧元订单(其中 EUV 为 49 亿欧元)。单季度 BB 值创 2017 年以来最高,累计在手订单 170 亿欧元,供货延期将持续到 2022H2。全 年增速指引从 30%提升到 35%。Lam Research 单季度营收入、利润率均高于预期,公 司预计 2022 年需求仍然很强劲本轮,资本密集度提升在半导体领域是全面的。KLA 订 单也已经延续至 2022 年,部分产品交付期超过 12 个月。



2021Q3:全球各环节设备均供不应求,新增订单仍然较多。全球光刻机龙头 ASML 本 季度收入 52 亿欧元,新增订单 62 亿欧元,BB 值持续高于 1,且在手订单远超一年产 值。前道工艺龙头 Lam Research 展望 2021H2 市场需求强于 2021H1,且 2022 年将延 续增长。



2021Q4:全球核心设备龙头订单整体强劲,短期收入受限于供应链制约,预计 2022 年 WFE 增长约 10~20%。其中,ASML 在 2021Q4 新增订单 71 亿欧元,BB 值 2.0, 累计订单充沛。2022Q1 收入仅为 33~35 亿欧元,预计有 20 亿欧元无法在 2022Q1 确 认收入;预计 2022 年增长 20%。Lam Research 预计 2022Q1 同比大幅增长、环比下 滑,主要受限于零部件和运输因素;预计 2022 年全球 WFE 增长至 1000 亿美元,增速 18%。TEL 预计 2022Q1 营收同比增长 16%、环比增长 1%;KLAC 预计 2022Q1 营收 22 亿美元,环比下降 9%,下降主要由于供应链限制,积压订单依然强劲。



未来两年全球晶圆厂设备开支持续增长。2020 年疫情带来的居家及远程办公带来笔电 等消费电子需求激增作为本轮周期的催化剂,2020H2 以车用芯片为代表的供应链开始 紧张,下游持续增长的需求与上游有限产能的矛盾演绎为 2021 年全年行业供需失衡加 剧。2022 年以来,消费性电子、智能手机、PC 等领域需求确有下滑,但更值得注意的 是全球正步入第四轮硅含量提升周期,服务器、汽车、工业、物联网等需求大规模提升。在 6 月台积电召开的股东大会上,公司管理层表示未来 10 年是半导体行业非常好的机 会,主要原因就是 5G 及高效能运算的普及,生活数字化转型,带来对车用(新车半导 体含量可达传统车的 10 倍)、手机、服务器等终端内半导体含量的增加,推动半导体需 求大幅成长。中芯国际在 22Q1 法说会表示,尽管消费电子,手机等存量市场进入去库 存阶段,开始软着陆,但高端物联网、电动车、绿色能源、工业等增量市场尚未建立足 够的库存,近年来硅含量提升与晶圆厂有限的产能扩充矛盾,叠加产业链转移带来的本 土化产能缺口,使得公司需要大幅扩产,推出新产品工艺平台,满足客户旺盛的增量需 求。我们认为疫情、全球经济及半导体周期性虽然会带来短期内的不确定性,但是技术 进步、硅含量提升是长期支撑半导体行业持续发展的最关键驱动力。



正因如此,2020 年开始全球领先的晶圆厂纷纷加速扩产提升资本开支,根据 IC Insights,2021 年全球半导体资本开支增速达到 36%,预计 2022 年将继续增长 24%, 2020-2022 年将会成为自 1993-1995 年以来的首次 CapEx 连续三年增速超过 20%。半 导体设备作为晶圆厂扩产的重要开支部分,根据 SEMI,2021 年全球晶圆厂前道设备支 出增速达到 42%,预计 2022 年将进一步增长 18%。



台积电、中芯国际纷纷增加资本开支,CapEx 进入上行期。根据 IC Insights,全球代 工厂资本开支约占半导体总体的 35%,根据头部代工厂的资本开支规划来看,2022 年 代工领域资本开支将进一步提升。台积电从 2020 年 170 亿美金增长到 2021 年的 300 亿美金(用于 N3/N5/N7 的资本开支占 80%),公司 2021 年 4 月 1 日公布未来三年资 本开支 1000 亿美金,2022 年资本开支将进一步提升至 400-440 亿美金,预计 2023 年 资本开支仍有望超过 400 亿美金;联电 2021 年 CapEx 18 亿美金,预计 2022 年翻倍达 到 36 亿美金(其中 90%将用于 12 英寸晶圆);GlobalFoundries 于 2021 年 IPO 后资本 开支大幅提升用于扩产,公司 2020 年 CapEx 4.5 亿美金,2021 年提升至 16.6 亿美金, 预计 2022 年超过 40 亿美金;中芯国际 2021 年资本开支维持高位,达到 45 亿美金(大 部分用于扩成熟制程,尤其是 8 寸数量扩 4.5 万片/月),预计 2022 年达到 50 亿美金。



存储厂商:



三星 22Q1 资本支出为 7.9 万亿韩元,其中用于半导体的投资为 6.7 万亿韩元,用 于显示的投资为 0.7 万亿韩元。对存储的投资集中在 P3 晶圆厂的投资建设,及华 城、平泽和西安工厂的工艺转换,重点开发 5nm 以下先进工艺的制造能力。平泽 P3 晶圆厂系三星全球建设的园区最大的晶圆厂,用于生产存储和逻辑芯片,建成 后陆续将有 NAND FLASH、DRAM 芯片投产,其后也将采用 3nm 工艺为其他厂商进 行晶圆代工;



海力士 22Q1 资本支出为 4 万亿韩元,预计 2023 年资本支出将继续增长。海力士 22Q1 支出的 4 万亿韩元主要用于对大连、利川、M15 工厂的投资建设。海力士资 本开支通常集中在上半年,从而有利于推动全年位元的成长。但公司在 22Q1 法说 会上称今年由于设备交付时间的延长,公司会在全年各季度更均匀的进行资本支出。此外,Solidigm 的资本支出也将计入公司总资本开支中,故 23 年的合计资本支出 将继续增加;



美光一季度资本支出 26 亿美元,全年资本开支指引保持在 110-120 亿美元。美光 预计 22H2 与 22H1 资本支出将基本持平。此外,美光表示虽然 22Q1 资本支出受 制于设备交付周期的延长,但其对全年的供应前景仍保持信心。随着 1- alphaDRAM 和 176 层 NAND 产品在终端市场的快速增长,公司将持续加强在上述 工艺技术上的投资布局,巩固先发地位。



“芯拐点”、新制程、新产能推动需求。我们判断本轮反转首先来自于全球“芯”拐点, 行业向上;其次,先进制程带来的资本开支越来越重,7nm 投资在 100 亿美元,研发 30 亿美元;5~3nm 投资在 200 亿美元;7nm 单位面积生产成本跳升,较 14nm 直接翻 倍;并且,大陆晶圆厂投建带动更多设备投资需求。



1.2 前道设备占主要部分,测试需求高增速



半导体设备按照制造环节可以分为前道晶圆制造设备、封装设备和测试设备。



前道设备占主要部分。设备投资一般占比 70~80%,当制程到 16/14nm 时,设备投资 占比达 85%;7nm 及以下占比将更高。按工艺流程分类,典型的产线上前道、封装、 测试三类设备分别占 85%、6%、9%。



测试需求高增长。半导体设备 2013~2018 年复合增长率为 15%,前道、封装、测试设 备增速分别为 15%、11%、16%。增速最快的子项目分别为刻蚀设备(CAGR 24%) 和存储测试设备(CAGR 27%)。根据 SEMI,2021年全球半导体测试设备市场规模达到 77.9 亿美金,同比增长 29.6%,预计 2022 年市场规模进一步增长至 81.7 亿美金。



1.3 全球市场受海外厂商主导,前五大厂商市占率较高



全球设备五强占市场主导角色。全球设备竞争格局,主要前道工艺(刻蚀、沉积、涂胶、 热处理、清洗等)整合成三强 AMAT、LAM、TEL。另外,光刻机龙头 ASML 市占率 80%+;过程控制龙头 KLA 市占率 50%。根据 SEMI,ASML、AMAT、LAM Research、 TEL、KLA 五大厂商 2021 年收入合计 788 亿美元,占全球市场约 77%。



综合看下来,设备五强市场在各赛道合计市占率基本在 50%以上。AMSL 优势在光刻 方面遥遥领先;AMAT 优势在产品线广,沉积(CVD、PVD)市占率高;LAM 优势在刻 蚀领域;TEL 优势在小赛道如涂胶、去胶、热处理;KLA 优势在过程控制。



1.4 海外设备厂商在手订单饱满,供应链限制延续



在手订单依旧强劲,供应链限制延续,设备大厂积极扩产。1)供给高度紧张:ASML 22Q1 营收 yoy-19%,下滑主要系部分订单确认延迟;毛利率同比-5pt,承压主要系材 料、供应链、运输等成本上升;库存周转率降低。泛林毛利率同比-1.7pt,主要系成本 压力(原材料、物流、通胀等)。2)订单依旧强劲:ASML新增在手订单约 70亿欧元, 环比持平。KLA:当前在手订单交期总体 5~6 个月,部分产品 7~8 月。爱德万客户订单 提前量增加,由于系半导体等材料和零件短缺,交期延长。3)积极扩产:ASML 预计 2030 年产能至少翻番,2025 年年产能增加到约 90 套 0.33 孔径 EUV 和 600 套 DUV。泰 瑞达预计2023研发费用1900亿日元,yoy+20.1%;资本开支750亿日元,yoy+31.1%, 规划金额皆较往年有大幅提升。



2022 下半年展望乐观,全年需求强劲将有订单递延至明年。泛林 2022Q2 毛利率指引 中枢仍略降,持续成本和供应压力影响持续,二季度订单积压不断增加。随产能落地、 产品竞争力效益显现及部分订单延迟多数企业对 H2 展望乐观。ASML 预计 2022H2 表现 强劲,毛利率约 54%,高于全年 52%指引,主要由 EUV 和 DPV 出货及安装基础管理业 务利润率提升驱动。Q4 部分 EUV 系统收入将递延到 2023 年。泛林预计 2022 WFE 需 求将超 1000 亿美元,未满足的设备需求将递延至明年。泰瑞达积极建立库存及扩产, 预计 H2 出货有更大增量及灵活性,预计 Q2 实现增长,仅高端产品出货受限。



ASML:业绩达到预期,新增订单 70 亿欧元



Q1 营收符合预期,快速出货模式下订单延迟确认。ASML 22Q1 营收为 35 亿欧元,达 指引上限,yoy -19%,qoq -29%,净利润 6.95 亿欧元,yoy-48%,qoq-61%,营收及 净利的下降主要系快速出货模式下部分订单确认收入时间延迟到 22Q2。毛利率为 49.0%,达到预期。本季度出货 9 套 EUV 系统,并确认了 3 套 EUV 设备 5.91 亿欧元的 收入。



新增在手订单约 70 亿欧元。22Q1 公司新增在手订单约 70 亿欧元,其中逻辑占 66%, 存储占 34%。其中包含 25 亿欧元的 0.33 孔径 EUV 系统和 0.55 高孔径 EUV 系统,DPV 订单量为 45 亿欧元,反映出对先进和成熟节点的持续强劲需求。



收入构成中,从技术路线上看,ArFi 占比最高为 47%,EUV 占比 26%, KrF 占比 12%, 量测和检测占比 7%,干法 ArF 占比 6%,I-line 占比 2%。从地区来看,中国大陆占比 34%,韩国占比 29%,中国台湾地区占比 22%,日本占比 7%,美国占比 6%,亚洲其 他地区占比 1%,欧非中东合计占比 1%。从终端应用上看,逻辑与存储各占 50%。



泛林:短期供应受限影响收入,需求仍然旺盛



供应严重受限,业绩处于指引下限。供应链限制对公司的收入和盈利能力产生了负面影 响,此外,公司还面临与供应相关的通胀压力、运输物流价格上升、原材料成本以及集 成电路的成本压力。为更好应对供应链挑战,公司采取增加资源、与客户合作、新增额 外零部件供应商等措施以提高供应链灵活性。公司 22Q1 营收 40.60 亿美元,同比 +5.51%,qoq-3.95%;毛利率 44.7%,成本压力较大导致毛利波动。持续的成本和供 应限制挑战将继续影响公司对二季度业绩的预测。



预计 2022 年 WFE 需求将超 1000 亿美元,任何未满足的设备需求都将递延至明年。即使供应受限,存储、代工、逻辑需求仍然非常强劲。存储一季度营收环比增长 58%, DRAM 业务为公司带来了创纪录的收入水平;代工一季度收入环比下降,与下游客户投 资时机有关。随着公司今年在领先和成熟节点设备投资方面的进展,预计这一领域将出 现增长;逻辑一季度贡献了 13%的总营收,创下记录。刻蚀领域发展前景良好,公司 预计 2022 年这一领域将继续增长;CSBG 收入约为 14 亿美元,受到了持续的供应链约 束的负面影响,环比下降 5%,但下游需求依然强劲。公司预计 2022 年 WFE 需求将超 过 1000 亿美元,任何未满足的设备需求都将递延至明年。



展望 2022Q2 需求能见度高。尽管客户需求持续强劲,但基于持续的供应链约束,公 司预计 22Q2 收入 42 亿美元,上下浮动 3 亿美元。毛利率预计为 44.5%,上下浮动 1%。公司的指引预期反映了对通货膨胀的成本环境的预期,以及对供应链执行的持续策略管 理的需求。营业利润率预计为 29.5%,上下浮动 1%。虽然公司面对供应链不断限制产 能的挑战,但需求依然强劲。一季度结束后,公司二季度的订单积压不断增加,终端 需求的能见度很高。展望 2022 全年,随着产能的增加,预计下半年毛利率趋好。



爱德万测试:2021 年业绩高增,积极筹资扩产



年度业绩高增,订单、销售额、营收及净利润均创历史新高。2021 财年公司收到订单 相比上年由 3,306 亿日元增至 7,003 亿日元,同比增加 111.82%,营业收入同比 62.2% 增至 1147 亿日元,净利润同比 25.1%增至 873 亿日元。其中净利润的增长相对较小, 主要是因为日本税负结转会计准则的调整和上一财年计提递延所得税资产的税收负担降 低所致。整体上,公司受益于半导体需求长期扩张和业绩改善的趋势。订单增长主要由 于半导体等材料和零件的短缺,产品交货时间更长,公司的相关客户订单提前量增加。21Q4 营业收入和税前收入也创下了披露季度财报以来的历史新高,主要受益于:客户 提前订购测试设备量增加、设备种类增多,测试设备业务和系统级测试业务的增长驱动 了机电一体化系统和服务支持业务的业绩增长,同时公司在采购必要零件方面的完善减 少了半导体元件和必要零件短缺的影响。



毛利率改善,增加研发投入并筹备资金,为未来产能和业务扩张奠定基础。2021 财年 公司毛利率为 56.6%,较 2020 年的 53.8%略有提升,主要受益于整体销售中高附加值 测试设备占所有高性能半导体的比例增加,增加了智能手机和数据中心相关设备的高端 SoC 半导体测试设备的销售额,改善产品组合。公司自 21Q2 开始对在美国的产能扩张 进行投资,并在第四季度进一步投资。



多方需求增加使公司对 2022 财年业绩持乐观态度,需求的增长主要受益于技术的增 长。SoC 测试设备的需求主要来自于半导体和相关制造工艺的复杂性增加,内存测试设 备的需求侧驱动力主要是小型化、高密度以及更高的速度和带宽等趋势数据中心对 HPC 设备的高需求方面,公司有希望增加 DRAM 测试设备的销售。由于对设备接口产品的持 续高需求,公司预计纳米技术产品的销售额也将增加,这与测试设备业务和 EUV 光刻 技术的日益普及密切相关。主要的困难仍然来自于采购半导体和其他零件,公司将与正 在开发领先半导体技术的客户合作。



KLA:把握先进封装和汽车电子机遇



公司 FY22Q3 营收 22.89 亿美元,yoy+26.88%,接近之前的业绩指引上限;净利润 7.76 亿美元,yoy+28.92%,qoq+1.95%。把握先进封装和汽车电子机遇。公司 FY22Q3 在汽车应用的晶圆检测收入方面创下有史 以来最高客户参与度。利用半导体工艺控制(SPC)和电子、封装、组件(EPC)的组 合,公司加强在先进封装和汽车电子领域的开发。公司扩大产品组合,开发一套全面的 产品和技术,包括用于先进封装市场的晶圆级封装、最终组装和测试产品,以及一套旨 在帮助客户实现其零缺陷目标的检测系统和工艺工具。该产品组合包括零缺陷项目中的 持续改进项目(CIP)设计的检查系统,如:在线模具筛选、电源设备可靠性、封装和 PCB 质量。



分业务来看,半导体工艺控制及相关服务业务 FY22Q3 营收达到 19.8 亿美元, yoy+31%,qoq-4%,符合预期,其中 63%营收来自于代工厂和逻辑客户,37%来自 于存储客户(26%来自于 DRAM,11%来自于 NAND);特种半导体工艺业务实现营收 11.7 亿美元,yoy+28%,qoq+4%;PCB、显示器和元件检测业务实现营收 1.93 亿美 元,yoy-6%,qoq+2%。



分产品来看,晶圆检测系统实现营收 9.19 亿美元,yoy+29%,qoq-17%,该部分营收 占比 40%;图案制作(包括光罩检测)收入为 6.11 亿美元,yoy+53%,qoq+20%, 营收占比 27%;特种半导体工艺收入为 1.06 亿美元,yoy+38%,qoq+1%,营收占比 5%;PCB、显示器和元件检测收入为 1.23亿美元,yoy-13%,qoq+1%,营收占比 5%;服务营收达到 4.88 亿美元,yoy+14%,qoq+7%,营收占比 21%,该部分增长超预期 主要系长期服务协议的增长、产能利用率的提高以及传统节点服务的拓展等。



业绩展望:预计下一季度总收入预计为 24.25 亿美元,上下浮动 1.25 亿美元;代工/ 逻辑预计约占半导体工艺控制系统收入的 56%,存储预计约占 44%,在存储中, DRAM 预计约占细分市场的 66%,NAND 预计约占 34%。



泰瑞达:汽车和闪存市场强劲



业绩高于指引中点,汽车和闪存市场需求强劲。22Q1 营业收入达 7.55 亿美元,yoy - 3.36%,qoq -14.65%。22Q1 毛利率为 60.2%,同比增长 1.10 pct,环比增长 0.70 pct。营收中工业自动化收入同比提升 29%。公司表示目前不断增长的汽车设备复杂性、ADAS 相关器件和电动汽车设备是 SoC 测试 的长期驱动力,未来 SoC 测试需求主要是在汽车终端市场,预计 2022 年高端市场总量 将达到 40~50 亿美元。存储器市场将维持稳定,其中 NAND 需求将增强,DRAM 需求 将持续减弱。



东京电子:营收高增,半导体市场热度不减



营收大幅提升,半导体市场热度不减。公司 FY22 总营收为 2 万亿日元,yoy+ 43.2%, 主要得益于全球经济的逐步复苏、碳中和的逐步推广以及通信技术的不断发展带来的半 导体需求提升;其中日本国内营收为 2303.7 亿日元,yoy+16.6%,占比 11.5%;海外 营收为 1.77 万亿日元,yoy+47.6%,占比 88.5%。归母净利 4370.8 亿日元, yoy+79.9%。毛利率达 45.5%,yoy+5.1pt。



分业务看:SPE半导体生产设备:在社会数字化的推动下,从尖端的半导体到成熟的几代半导体需 求强烈,资本方对 DRAM 和 NAND 闪存的投资也继续保持在高水平。FY22 SPE 部门对 外营收为 1.94 万亿日元,yoy+47.8%,占比 97%。FPD 平板显示器生产设备:随着电视用大尺寸液晶面板的资本投资已基本走到尽头, FPD TFT 阵列的整体制造设备市场开拓已经放缓。同时,中小型 OLED 面板的资本投资 仍在继续,终端产品中安装的显示器正在从 LCD 面板转换为 OLED 面板。FY22 前三季 度该部门的营收为 598.3 亿日元,同比减少 28.6%,占比 3%。



2023 展望:公司预计 FY2023 营收 2.35 万亿日元,yoy+17.3%,其中 SPE 部门营收 2.29 万亿日元,yoy+18.1%;FPD 部门营收 550 亿日元,yoy-8.1%;归母净利 5230 亿 日元,yoy+19.7%。研发费用预计 1900 亿日元,yoy+20.1%,资本开支 750 亿日元, yoy+31.1%。公司 FY2023 研发投入及资本开支规划较往年有大幅提升。



1.5 国内需求爆发,国产替代空间快速打开



国内晶圆厂投资进入高峰期。根据集微网统计,2020~2022 年国内晶圆厂总投资金额 分别约 1500/1400/1200 亿元,其中内资晶圆厂投资金额约 1000/1200/1100 亿元。2020~2022 年国内晶圆厂投资额将是历史上最高的三年,且未来还有新增项目的可能。



设备国产化率较低,海外龙头垄断性较高。我国半导体设备市场仍非常依赖进口,从 市场格局来看,细分市场均有较高集中度,主要参与厂商一般不超过 5 家,top3份额往 往高于 90%,部分设备甚至出现一家独大的情况,目前国内厂商目标市场主要是国内 晶圆厂需求,尤其是内资投建的需求。制程越先进,设备投资额占比越高。设备投资一般占比 70~80%,当制程到 16/14nm 时,设备投资占比达 85%;7nm 及以下占比将更高。光刻、刻蚀、沉积、过程控制、 热处理等均是重要投资环节。



国内国产化逐渐起航,从 0 到 1 的过程基本完成。北方华创产品布局广泛,刻蚀机、 PVD、CVD、氧化/扩散炉、退火炉、清洗机、ALD 等设备新产品市场导入节奏加快,产 品工艺覆盖率及客户渗透率进一步提高,在集成电路领域主流生产线实现批量销售,产 品加速迭代;第三代半导体、新型显示、光伏设备产品线进一步拓宽,出货量实现较快 增长。拓荆科技作为国内唯一一家产业化应用 PECVD 和 SACVD 设备的供应商,PECVD 累计发货 150 台,广泛用于中芯国际、华虹集团、长江存储、合肥长鑫、厦门联芯、燕 东微电子等国内主流晶圆厂,PEALD 已实现销售;中微公司介质刻蚀机已经打入 5nm 制程,新款用于高性能Mini-LED量产的 MOCVD设备 UniMax 2022Q1订单已超 180腔;芯源微前道涂胶显影设备在 28nm 及以上多项技术及高产能结构方面取得进展,并实现 多种核心零部件的国产替代,公司前道物理清洗设备已经达到国际先进水平并成功实现 国产替代,新签订单结构中前道产品占比大幅提升;华海清科 CMP 设备在逻辑芯片、 3D NAND、DRAM 制造等领域的工艺技术水平已分别突破至 14nm、128 层、1X/1Ynm, 到 2021 年底,公司 CMP 设备累计出货超过 140 台,未发出产品的在手订单超 70 台。Mattson(屹唐半导体)在去胶设备市占率全球第二;盛美半导体单片清洗机在海力士、 长存、SMIC 等产线量产。精测电子、上海睿励在测量领域突破国外垄断。



设备国产化率较低,国产厂商成长空间巨大。我国半导体设备市场仍非常依赖进口, 目前国内厂商目标市场主要是国内晶圆厂需求,尤其是内资投建的需求,潜在收入目标 空间较大。



1.6 2022Q1 国产设备厂商营收持续高增



2021 年及 2022Q1 设备收入、利润快速增长,国产替代持续深化。设备行业核心公 司(北方华创、芯源微、华峰测控、中微公司、新益昌、长川科技、万业企业、精测电 子、至纯科技,拓荆科技、华海清科及盛美上海由于 2020 年数据不完整未被算入) 2022Q1 营业收入总计 76.2 亿元,同比增长 55.3%;扣非归母净利润 11.4 亿元,同比 增长83.0%。设备行业持续处于高速增长,国产替代空间快速打开,国内核心设备公司 成长可期。



设备厂商在手订单充足,合同负债保持较高增速。2022Q1,设备板块主要公司合同负 债合计分别为 139.5 亿元,同比增长 76.2%,保持高增速。其中,北方华创 2022Q1 合 同负债达到 50.9 亿元。



大陆 12 寸晶圆厂建厂潮带动设备需求持续增长。生产效率及降低成本因素推动下,全 球 8 寸扩产放缓,12 寸晶圆厂扩产如火如荼。2020 年以来,国内 12 寸晶圆厂遍地开 花,除中芯国际外,闻泰、格科微、海芯等公司纷纷计划建设 12 寸晶圆厂,粤芯半导 体、华虹无锡等 12 英寸生产线陆续建成投产。根据 SEMI,2019 年至 2024 年,全球至 少新增 38 个 12 寸晶圆厂,其中中国台湾 11 个,中国大陆 8 个,到 2024 年,中国 12 寸晶圆产能将占全球约 20%。大量晶圆厂的扩建、投产,将带动对上游半导体设备的 需求提升,更有望为国产化设备打开发展空间。



二、光刻机:半导体制程工艺核心环节,将掩膜板图形缩小



光刻是将掩膜板上的图形曝光至预涂了光刻胶的晶圆表面上的过程。光刻胶(正胶) 受到照射的部分,将发生化学变化,从而易溶于显影液。瑞利公式:CD=k1*(λ/NA)。CD 为关键尺寸,为了降低 CD,有三种方式:(1)降低波 长λ;(2)提高镜头的数值孔径 NA;(3)降低综合因素 k1。生产参数:(1)分辨率:可达到最小光刻图形尺寸;(2)套准精度:图形尺寸在亚微 米数量级上,套刻误差在特征尺寸 10%;(3)产率:对给定掩膜板,每小时能曝光的 晶片数量。方案升级:接触式——接近式——步进式。光源升级:1985 年之前,以 g 线(436nm)为主,最小线宽为 1um 以上;1985 年以 后,出现少量i线(365nm)光刻机,最小线宽0.5um;1990年开始出现DUV光刻机, 最小线宽为 0.25um;踏入 21 世纪,193nm 的深紫外线开始使用。



EUV 的采用利好光刻、过程控制(ASML、KLA)。根据 ASML,45K/M 的 logic 产能, 每一层需要一台 EUV;100K/M 的 DRAM 产能,每一层需要 1.5~2 台 EUV。预估 TSMC N7 使用 7 层;N5 使用 14 层。ASML 预估 EUV 层数 10~20 层,目前工艺总层数多达 400~600 层。



光刻机发展历史,两次技术分水岭奠定格局变化。2003~2004 年为第一个分水岭:ASML 选择浸润式,Nikon 选择 157nm。2010 年为第二个分水岭:EUV 量产,差距拉大。



2020 年,全球光刻机市场约 135 亿美元,占全球半导体制造设备市场 21%。光刻机 市场一直以来在全球设备市场中的比重都较高,具有较高技术难度,并且单台设备价值 量也较高,属于半导体制造设备的“皇冠”。光刻机单机价值量高,每年出货数量约 300~400 台。根据 ASML、Nikon、Canon 三 家光刻机财报数据统计,近两年全球光刻机每年出货量大约在 300~400 台之间,整体 均价约 0.3 亿美元。其中主要产品是 KrF 约 90~100台,ArFi 约 90~100 台。近几年 EUV 出货量在逐步增长,全球仅有 ASML 具备供应能力,每年出货 30~50 台,均价超过 1 亿 美元。



光刻机的供给有限,前三大晶圆制造领先厂商占据大部分需求。ASML 在 2020 年一共 销售 34 台 EUV 光刻机,2021 年 EUV 光刻机的产能将增长到 45~50 台。从历史需求端 来看,全球 90%以上的 EUV 光刻机由 TSMC、Samsung、Intel 三家采购,其他诸如代 工厂 GobalFoundries、存储厂海力士、美光每年最多采购 1 台光刻机。



SML 主导全球光刻机市场。从光刻机格局来看,2020 年 ASML 占据全球光刻机市场 84%的市场空间,Nikon 约 7%,Canon 约 5%。ASML 具有高度的垄断地位,并且由于 EUV 跨越式的升级进步,ASML 在技术上的领先性更加明显。



国内上海微布局前道光刻机设备。上海微电子装备(集团)股份有限公司主要致力于半 导体装备、泛半导体装备、高端智能装备的开发、设计、制造、销售及技术服务。公司 于 2002 年成立,2006 年公司光刻机产品注册商标获得国家工商局批准。2008 年十五 光刻机重大科技专项通过了国家科技部组织的验收。2009 年交付首台先进封装光刻机 产品。2013 年公司国产首台用于 2.5 代 AM-OLED TFT 电路制造的光刻机成功交付用户。2016 年,公司首台暨国内首台前道扫描光刻机交付用户。2018 年,公司 90nm 光刻机 项目通过正式验收。公司建立了产品开发过程的技术创新以及知识产权保护的制度程序与管理组织体系,并 于 2015 年通过 GB/T29490-2013 国家知识产权管理体系审核认证,体系涵盖了产品的 预研、设计、制造以及市场投放等全过程。



三、刻蚀设备:等离子刻蚀复杂程度高,且步骤逐渐增加



刻蚀是用化学、物理、化学物理结合的方法有选择的去除(光刻胶)开口下方的材料。被刻蚀的材料包括硅、介质材料、金属材料、光刻胶。刻蚀是与光刻相联系的图形化处 理工艺。刻蚀就是利用光刻胶等材料作为掩蔽层,通过物理、化学方法将下层材料中没 有被上层遮蔽层材料遮蔽的地方去掉,从而在下层材料上获得与掩膜板图形对应的图形。



湿法刻蚀:用液体化学剂去除衬底表面的材料。早期普遍使用,在 3um 以后由于线宽 控制、刻蚀方向性的局限,主要用干法刻蚀。目前,湿法刻蚀仍用于特殊材料层的去除 和残留物的清洗。干法刻蚀:常用等离子体刻蚀,也称等离子体刻蚀,即把衬底暴露于气态中产生的等 离子,与暴露的表面材料发生物理反应、化学反应。刻蚀主要参数:刻蚀速率、均匀性、选择比(对不同材料的刻蚀速率比)、刻蚀坡面 (各向异性、各向同性)。



应用最广泛的刻蚀设备是 ICP 与 CCP,技术发展方向是原子层刻蚀(ALE)。电容性等离子体刻蚀 CCP:能量高、精度低,主要用于介质材料刻蚀(形成上层线路) ——诸如逻辑芯片的栅侧墙、硬掩膜刻蚀、中段的接触孔刻蚀、后端的镶嵌式和铝垫刻 蚀等,以及 3D 闪存芯片工艺(氮化硅/氧化硅)的深槽、深孔和连线接触孔的刻蚀等。电感性等离子体刻蚀 ICP:能量低、精度高,主要用于硅刻蚀和金属刻蚀(形成底层器 件)——硅浅槽隔离(STI)、锗(Ge)、多晶硅栅结构、金属栅结构、应变硅 (Strained-Si)、金属导线、金属焊垫(Pad)、镶嵌式刻蚀金属硬掩模和多重成像技术 中的多道刻蚀工艺。ALE:技术发展方向,能精确刻蚀到原子层(约 0.4nm),具有超高刻蚀选择率。应用 广泛。



光刻技术中许多先进制程涉及多重图形技术。即使是 EUV,波长为 13.5nm,要实现 7nm的精度,仍需要依靠多重图形技术,即多次刻蚀。因此制程升级,精度越高,需要 的刻蚀复杂度、步骤数量也在提升。所以刻蚀设备和化学薄膜设备成为更关键的设备。



产业发展趋势:(1)0.13um 工艺的铜互连技术出现时(300mm 时代),金属刻蚀比例下降,介质刻蚀 的比例大幅上升;(2)30nm 之后,多重图像技术、软刻蚀应用的提升,硅刻蚀(ICP)的占比快速提升。(3)数十层的金属互联层(后道工艺,BEOL),精度一般在 20nm 以上的以 CCP 为主;CMOS 核心器件(前道工艺,FEOL)线宽比较小,往往使用 20nm 以下的 ICP。(4)EUV 在 foundry/DRAM 的采用,使得刻蚀步骤减少;3D Nand 采用,使得刻蚀步 骤增多,高深宽比刻蚀需求增多。刻蚀设备市场超过 130 亿美元,是晶圆设备占比最高的市场。2011 年以来,刻蚀在晶 圆设备的占比从 11%逐渐提升到 20%以上,2017 年起成为全球晶圆设备中占比最高的 装备类别,重要性不断提升。刻蚀设备市场基本是干法刻蚀设备,2020 年全球干法刻 蚀设备市场约 137 亿美元,其中介质刻蚀(Dielectric Etch)60 亿美元,导体刻蚀 (Conductor Etch)76 亿美元。



刻蚀由海外龙头主导,国内公司保持快速增长。根据 Gartner,全球刻蚀企业前三大分 别是 Lam Research、TEL、AMAT,全球市占率合计 91%。国内刻蚀业务前三大企业分 别为中微公司、北方华创、屹唐半导体。2021 年国内的刻蚀龙头企业中微公司、北方 华创的刻蚀业务都取得较高收入增长,并在规模体量逐步接近全球前五大厂商。



从导体刻蚀市场结构看,Lam 一家独大,长期全球市占率超过 50%;其次 AMAT 占据 约 30%市场份额。剩下的厂商如日立高新、TEL、KLA、北方华创、SEMES、中微公司 等公司合计,在导体刻蚀合计市占率不超过 20%。近两年,国内设备龙头厂商北方华 创、中微公司该产品线放量加速,逐步提高半导体设备刻蚀供应链份额。



从介质刻蚀市场结构看,TEL 一家独大,长期全球市占率超过 50%;其次 Lam 占据接 近 40%的市场份额,两家厂商主导整个市场,寡占程度较强。全球介质刻蚀设备供应 商还有 SEMES、中微公司、AMAT、Ulvac、屹唐半导体等。中微公司开发了系列介质刻 蚀装备,并承担多项重大科研项目,是国内领先的介质刻蚀设备厂商。



北方华创是国内领先的半导体高端装备及一体化解决方案供应商。公司深耕于芯片制 造刻蚀领域、薄膜沉积领域近 20 年,现已成为国内领先的半导体高端工艺装备及一站 式解决方案的供应商。公司立足半导体装备、真空装备、新能源锂电装备及精密元器件 构成公司四大核心事业集群,半导体设备品类国内最为完备,客户覆盖中芯国际、华虹、 三安光电、京东方等各产业链龙头,营销服务辐射欧、美、亚等全球主要国家和地区。北方华创 ICP刻蚀机领域国内领先,金属刻蚀 8英寸打破国外垄断,12英寸突破 28nm 以下制程。北方华创 2005 年第一台 8 英寸 ICP 刻蚀机在客户端商显,12 英寸刻蚀机在 客户端 28nm 实现国产替代,2020 年 12 月,北方华创 ICP 刻蚀机交付突破 1000 腔, 标志着国产刻蚀机得到客户广泛认可。2017 年公司 8 英寸铝金属刻蚀机进入国内主流代工厂生产线,独特的腔室结构和温度 控制设计,可大幅提升了设备的稳定性、重复性和生产工艺水平,打破了国际厂商长期 垄断 8 英寸刻蚀机的局面;同时公司推出 12 英寸 TiN 硬掩膜刻蚀机,可应用于 28- 14nm 逻辑制程中。2016 年自主研发的国内首台应用于 14nm 制程的 ICP 刻蚀机 NMC612D 进入上海集成电路研发中心,正式迈入 14nm 刻蚀工艺。



中微公司刻蚀产品线逐步成熟,从 CCP 向 ICP 快速开拓。中微公司 CCP 刻蚀设备应用 于国际一线客户从 65nm 到 5nm、64 层及 128 层 3D NAND 晶圆产线及先进封装生产 线,中微公司 ICP 刻蚀设备已经趋于成熟,在 10 家客户生产线进行验证,并逐步取得 客户的重复订单。中微公司 CCP 刻蚀设备包括双反应台 Primo AD-RIE 和单反应台的 HD-RIE,覆盖了 65 纳米、45 纳米、32 纳米、28 纳米、22 纳米、14 纳米、7 纳米到 5 纳米关键尺寸的众多刻蚀应用;中微公司的 ICP 设备 Nanova 已经累计交付超过 100 台反应腔,在领先的逻辑芯片、DRAM 和 Nand 厂商产线实现大规模量产。



屹唐股份拥有干法刻蚀设备 paradigmE 系列,采用专有的法拉第屏蔽电感耦合等离子 (ICP) 源与蚀刻偏置控制相结合,设备采取双晶圆反应腔、双反应腔产品平台设计,主 要可用于 65 纳米到 5 纳米逻辑芯片、10 纳米系列 DRAM 芯片以及 32 层到 128 层 3 闪 存芯片制造中若干关键步骤的大规模量产。



四、薄膜设备:用于沉积物质,在设备市场占比较高



薄膜生长:采用物理或化学方法使物质附着于衬底材料表面的过程,常见生长物质包 括金属、氧化物、氮化物等不同薄膜。根据工作原理不同,薄膜沉积生长设备可分为:物理气相沉积(PVD)、化学气相沉积(CVD)和外延等类别。PVD 和 CVD 是主要的薄膜设备,ALD 是产业技术发展趋势。在半导体领域,薄膜主要 分给绝缘薄膜、金属薄膜。大部分绝缘薄膜使用 CVD,金属薄膜常用 PVD(主要是溅 射)。其他常用的镀膜方式包括 ECD、SOD、MOCVD、Epitaxy 等。在薄膜设备整体中, CVD 的使用越来越广泛,基于 CVD 发展的 ALD 更是行业升级的技术方向。



CVD:用于沉积介质绝缘层、半导体材料、金属薄膜。典型的 CVD 流程包括气体输入、 气体对流、气象扩散、表面吸附、表面反应、表面脱附及薄膜成核生长。(1)微米时代,化学气相沉积多采用常压化学气相沉积(APCVD)设备,结构简单。(2)亚微米时代,低压化学气相沉积(LPCVD)成为主流,提升薄膜均匀性、沟槽覆 盖填充能力。(3)90nm 以后,等离子增强化学气相沉积(PECVD)扮演重要角色,等离子体作用 下,降低反应温度,提升薄膜纯度,加强薄膜密度。(4)45nm 以后,高介电材料(High k)和金属栅(Metal Gate),引入原子层沉积 (ALD)设备,膜层达到纳米级别。——(a)高介电材料(High k)替代 SiO2,用于 制备 MOS 器件的栅介质层,需要引入 ALD。(b)多晶硅同步地被替代为金属栅(Matal Gate)电极,也用 ALD 设备制备。



物理气相沉积(PVD):利用蒸发或溅射,实现原子从源物质到沉底材料表面的物质转 移,沉积形成薄膜。物理气相沉积是一种物理气相反应生长法,沉积过程是在真空或低 压气体放电条件下,涂层物质源是固态物质,经过“蒸发或溅射”后,在零件表面生成 与基材性能完全不同的新的固态物质涂层。PVD 具有成膜速率高、镀膜厚度及均匀性可 控好、薄膜致密性好、粘结力强及纯净度高等优点。PVD 可以分为真空蒸镀(Vacuum Evaporator)和溅射(Sputtering)。PVD 发展初 期以真空蒸镀镀膜为主,特点是工艺简单、操作容易、纯度较高,缺点是难以蒸发某些 金属和氧化物。由于溅射设备制备的薄膜更加均匀、致密,对衬底附着性强,纯度更高, 溅射设备取代了蒸镀设备。



2020 年全球薄膜设备市场达到 138 亿美元,占 IC 制造设备 21%;其中主要是 CVD 和 PVD,合计占 IC 制造设备 18%。其中,CVD 市场规模高度 89 亿美元,主流是设 备包括 PECVD、Tube CVD、LPCVD 和 ALD 等。整个薄膜市场市占率最高的是 AMAT。高端领域如 ALD 受 ASM、TEL 和 Lam 等海外龙头主导。国内布局 IC 制造领域薄膜设备 的主要国产厂商包括北方华创和沈阳拓荆。



CVD 市场主要由海外龙头主导,国内北方华创、沈阳拓荆积极布局。根据 Gartner 数 据,全球 CVD 市场前五大供应商包括 AMAT(28%)、Lam Research(25%)、TEL (17%)、Kokusai(原日立高新,8%)、ASM(11%)。国内半导体设备龙头北方华创、 沈阳拓荆在该领域也有布局。



从 PVD 市场格局来看,AMAT 一家独大,长期占据约 80%的市占率。PVD 市场主要 供应商包括 AMAT、ULVAC、Evatec、KLA、TEL、北方华创等。根据 Gartner,2020 年 北方华创的半导体 PVD 设备全球市占率为 3%,属于国内领先地位。随着国产替代加速, 北方华创 PVD 业务有望加速成长。



北方华创在集成电路领域可提供刻蚀机、PVD 设备、单片退火设备、ALD 设备、氧化/ 扩散炉、LPCVD、单片清洗机以及槽式清洗机等产品,覆盖刻蚀、薄膜、扩散、清洗 四大工艺模块,为集成电路领域提供先进的工艺解决方案。由北方华创自主研发的面 向先进制程的等离子硅刻蚀机、金属刻蚀机、TiN hardmask PVD、Al Pad PVD、ALD、 单片退火系统以及 SiNx LPCVD 等已逐步进入集成电路主流代工厂供应链体系。引领国产高端集成电路 PVD 薄膜工艺,公司多项产品进入国际供应链体系。公司 PVD 产品布局广泛,近几年陆续推出了 TiN PVD、AIN PVD、Al Pad、ALD 等 13 款自主研 发的 PVD 产品并成功产业化,可应用于集成电路、先进封装、LED 等领域。公司自主 设计和生产的 exiTin H630 TiN 金属硬掩膜 PVD 系统是国内首台专门针对 55-28nm 制 程 12 寸金属硬掩膜设备。2016 年,公司 28nm/12 英寸晶圆生产的 TiN Hardmask PVD 进入国际供应链体系。2017 年公司紧随市场需求,更新设备工艺,推出适用于 28- 14nm 制程的大马士革工艺的 exiTin H430 TiN Hardmask PVD 系统。



公司产品技术上不断突破,下游导入持续取得新进展:



硬掩板(Had Mask) PVD 应用较为广泛。硬掩膜为金属互连线提供精准控制和 区域处理:硬掩膜工艺就是采用选定的图像、图形或物体对待处理图像(全部或局 部)进行遮挡,来控制图像处理的区域或处理过程,广泛应用于 IC 制备流程的前段 (FEOL)和后段工艺(BEOL)。2015 年,北方华创 TiN PVD 沉积系统获得海外主流 IC 厂订单,并正式进入国际先进IC大厂。由北方华创微电子自主设计和生产的exiTin H630 TiN金属硬掩膜物理气相沉积(Metal hardmask PVD)系统是专门针对 55-28nm 制程 12 寸金属硬掩膜设备。



铝衬垫(Al Pad)PVD 60-28nm 导入客户,更先进制程支持加速验证。芯片器 件用使用 Al Pad PVD 用于其后道金属互联,提供电子信号、微链接等作用。Al Pad 物理气相沉积系统作为集成电路工艺中的一道重要工序,主要应用于 Bond pad 和 Al interconnect 工艺。公司于 2015 年推出 eVictor A830 Al Pad 物理气相沉积系统 (配置 8 个工艺模块,可据客户需求多样化配置)。该设备目前已进入等国内、国 外一线厂商,被应用于 90~28nm 制程产线,更先进制程正加速验证。2018 年北方 华创 Al Pad PVD 成功进驻上海集成电路研发中心。



铜互联(CuBS) PVD 已在客户获得放量订单。金属铜可以降低互连线电阻率, 因此铜互联技术被广泛使用。北方华创是 02 转向“14-7nm CuBS 多工艺腔室集成 装备研发及产业化”项目执行单位。根据招投标统计,公司铜互联 PVD 已经实现 突破,打破 AMAT 在该领域的垄断,极大打开公司在 PVD 领域的目标市场。



12 英寸氮化硅沉积设备导入下游龙头企业。2020 年 4 月 7 日,北方华创 THEORIS SN302D 型 12 英寸氮化硅沉积设备 Move in 国内集成电路制造龙头企业。该设备的 交付,意味着国产立式 LPCVD 设备在先进集成电路制造领域的应用拓展上实现重 大进展。



12 英寸 ALD 已实现商用。北方华创自 2014 年开始布局 ALD 设备,2017 年推出 量产型单片 ALD 设备并首次交付。公司 Promi 系列 ALD 设备是用加热的方式,通 过在工艺循环周期内分步向真空腔内添加前驱体、实现对膜层厚度的精确控制,可 用于沉积 Al2O3、HfO2、ZrO2、TiO2、TiN、TaN 和 ALN 等多种薄膜。



拓荆科技拥有三个完整系列 CVD 产品线,累计出货量超过 150 台套。拓荆科技成 立于 2010 年 4 月,多次承担国家专项,公司拥有 12 英寸 PECVD(等离子体化学 气相沉积设备)、ALD(原子层薄膜沉积设备)、SACVD(次常压化学气相沉积设备) 三个完整系列产品。沈阳拓荆 2012 年推出 12 英寸多反应腔 PF-300T;2014 年获 得中芯国际首台量产机台 PF-300T 订单;2016 年首台 ALD 出厂到客户端;2017 年 首台 3D Nand PECVD 出厂到客户端;2018 年 12 英寸 ALD 获得客户端 14nm 工艺 验证;截至 2021 年 9 月,公司研发的 PECVD、ALD 及 SACVD 设备系列产品已累 计发货超 150 台,公司技术人员共 318 人,占比达 74.13%。



公司半导体薄膜沉积设备技术指标已达到国际厂商设备水准。公司具体产品包括 等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化 学气相沉积(SACVD)设备三个系列。在 PECVD 设备领域,公司产品可以适配 180-14nm 逻辑芯片、19/17nmDRAM 等工艺需求,能够兼容 SiO2、SiN 等多种反 应材料;在 ALD 设备领域,公司的 PE-ALD 目前已适配 55-14nm 逻辑芯片制造工 艺需求,可以沉积 SiO2 和 SiN 材料薄膜;在 SACVD 设备领域,公司产品可以沉积 BPSG、SAF 材料薄膜,适配 12 英寸 40/28nm 及 8 英寸 90nm 以上的逻辑芯片工艺 需求。



中微公司研发布局薄膜市场。中微公司持有拓荆科技 8.4%股权,是其第三大股东。根据公司定增公告,中微公司 CVD 研发项目包括 HPCVD、导体薄膜 LPCVD、ALD、 EPI 等设备的开发及工艺应用开发。项目由公司副总裁级主管人员牵头主持,其拥 有 25 年以上的半导体从业经验,在主机平台和 MOCVD 设备上有着丰富的经验。



五、清洗设备:去除晶圆片表面杂质,各制程前后均需使用



清洗机是将晶圆表面上产生的颗粒、有机物、自然氧化层、金属杂质等污染物去除, 以获得所需洁净表面的工艺设备。从工艺应用上来说,清洗机目前已广泛应用于集成电 路制造工艺中的成膜前/成膜后清洗、等离子刻蚀后清洗、离子注入后清洗、化学机械 抛光后的清洗和金属沉积后清洗等各个环节。升级方向:高效且无损。在过去的 25 年中,随着制程升级,晶圆湿法清洗变得越来越 复杂和高效。清洗需要强力有效,还要减少对晶圆表面的损伤。清洁步骤占半导体工艺所有处理步骤 1/3,最多已经达到 200 次。几乎所有制程的前 后都需要清洗环节。



根据 Gartner,2018 年全球清洗设备市场规模为 34.17 亿美元,2019 年受全球半导体 行业景气度下行的影响,下降为 30.49 亿美元。预计 2021 年全球半导体行业复苏,半 导体清洗设备行业将呈现增长趋势,市场规模预计在 2024 年达到 31.9 亿美元。市场份 额来看,日本迪恩士一家份额达到 45%,迪恩士、东京电子、SEMES 三家合计份额超 过 85%。



盛美上海——国内半导体清洗设备龙头。2005 年,美国 ACMR 在上海投资设立公司的 前身盛美有限,并将其前期研发形成的半导体专用设备相关技术使用权投入盛美有限。此后公司在半导体专用设备领域深耕多年,已在半导体专用设备多个细分领域实现突破, 公司的兆声波单片清洗设备、单片槽式组合清洗设备及铜互连电镀工艺设备领域的技术 水平达到国际先进水平,主要产品也得到以海力士、中芯国际、长江存储、长电科技等 为代表的国内外主流半导体厂商的认可。



持续研发投入和技术积累,产品线逐步丰富。公司经过多年持续的研发投入和技术积 累,先后开发出了单片清洗、槽式清洗以及单片槽式组合清洗等清洗设备,用于芯片制 造的前道铜互连电镀设备、后道先进封装电镀设备,以及用于先进封装的湿法刻蚀设备、 涂胶设备、显影设备、去胶设备、无应力抛光设备及立式炉设备等。至纯科技——深耕高纯工艺系统二十余年,国内高纯工艺系统的解决方案供应商。至 纯科技成立于 2000 年,通过 20 多年在行业内的深耕,公司在高纯工艺系统领域已经形 成了较强竞争优势,主要服务于一线集成电路用户,竞争对手也均为国际厂商。在湿法 装备领域,公司近年投入高强度资源进行自主研发,已经具备了湿法工艺全系列的设备。公司主营业务包括高纯工艺系统、半导体湿法清洗设备研发、光传感应用及相关光学元 器件的研发、生产和销售。公司产品包括高纯工艺系统、半导体湿法清洗设备、光纤传感器及光电子元器件、晶 圆再生业务。高纯工艺系统提供精密制造所需的各类高纯介质,系统的前端连接高纯介 质储存装置,系统的终端连接客户自购的工艺生产设备。半导体湿法设备包含湿法槽式 清洗设备及湿法单片式清洗设备,随着制程的升级,晶圆清洗步骤也更加复杂,清洗设 备及工艺也在不断迭代。光纤传感器及光电子元器件方面,是由子公司波汇科技研发、 生产及销售。晶圆再生领域,公司合肥晶圆再生项目基地基础建设完成,晶圆再生和腔 体部件清洗及表面处理项目也已经基本通线待试生产。



半导体设备业务发力,湿法设备持续放量。至纯科技 2021 年湿法设备订单达到 11.2 亿 元,yoy+111.3%。公司自 2015 年开始布局湿法设备,2018 年首次拿到亿元级别订单, 2020 年订单超过 5 亿,2021 年超过 11 亿元,订单的高速增长凸显公司技术实力。公 司在 28nm 节点已获得全部工艺设备订单,在 14nm 以下制程也获得 4 台湿法设备订单。2021 年公司单片湿法设备和槽式湿法设备全年出货超过 97 台。12 英寸湿法设备新增订单金额超过 6 亿元,其中单片式湿法设备新增订单金额超过 3.8 亿元。



六、过程控制:制造过程的准确性检



测 过程控制:半导体晶圆制造过程中不同工艺之后,往往需要进行尺寸测量、缺陷检测等, 用于工艺控制、良率管理,要求快速、准确。尺寸测量、缺陷检测等应用于每道制程工 艺之后。IC 量测设备用于工艺控制、良率管理,检测要求快速、准确、非破坏。IC 量 测在发展过程中,在尺寸微缩、复杂 3D、新型材料方面面临各类技术难点,面对诸如 存储、CIS、化合物半导体等不同半导体检测等多种需求不断升级。IC 量测设备的技术 类别包括探针显微镜、扫描/透射电镜、光学显微镜、椭偏/散射仪等,技术发展方向包 括延续现有的非破坏测量技术,电镜方面推进并行电子束技术,散射仪向 EUV、X 射线 延伸以缩小波长,并联合多种测量手段和机器学习实现混合测量等。



尺寸测量:测量关键尺寸(CD critical dimension)、膜厚度(thickness)、应力 (stress)、折射率(refractive index )、阶梯覆盖(step coverage)、接触角度 (contact-angle)… 无图形缺陷检测:颗粒(particle)、残留物(residue)、刮伤(scratch)、警惕原生凹 坑(COP)等等。有图形缺陷检测:短线(break)、线边缺陷(bite)、桥接(bridge)、线形变化 (Deformation)等等。



过程控制在 IC 制造设备占比约 11~13%,持续有升级需求。2020 年全球过程控制设 备市场空间约 73 亿美元,其中光刻相关(套刻误差量测、掩膜板测量及检测等)相关 需求约 20 亿美元、缺陷检测需求约 39 亿美元、膜厚测量需求约 11 亿美元。过程控制 市场中在全球市场比例基本维持在 11~13%之间,相对稳定,随着制程微缩、3D 堆叠 推进,晶圆制造对于量测、检测需求不断增加,精度要求也不断提高,过程控制设备持 续有升级需求。



全球过程控制市场主要由海外龙头 KLA 主导。根据 SEMI,全球过程控制主要赛道由海 外厂商主导并垄断,KLA 在大多细分领域具有明显优势,此外 AMAT、ASML、Nova、 Hitachi 也有所布局。国内公司上海精测、睿励科学、中科飞测、赛腾股份等主要布局。



上海精测增资加速布局,聚焦半导体前道测试设备。上海精测成立于 2018年 7 月, 主要布局半导体前道测试,以椭圆偏振技术为核心开发了适用于半导体工业级应用的 膜厚测量以及光学关键尺寸测量系统。上海精测半导体技术有限公司常务副总经理马 骏,原任天马微电子助理总经理。在 2019 年 9 月增资 5.5 亿的公告计划中,马骏认 缴出资额 2500 万元,与上海精测高度绑定。2020 年 12 月底,公司定增再次增资 上海精测,增资完成后,上海精测注册资本将由 7.5 亿元增加至 13.7 亿元。



上海精测全面布局膜厚及 OCD 检测、SEM 检测等技术方向。公司产品规划路径清晰, 技术覆盖面齐全。在膜厚方面,上海精测已经推出了膜厚检测设备、OCD 检测设备等 多款半导体测量设备。技术演进路径从膜厚检测的 EFILM 200UF 到 EFILM 300IM,再 到 EFILM 300SS/DS,再到 OCD 测量的 EPROFILE 300FD,功能更加丰富,精密度逐渐 提高。在电子光学 SEM 检测方向,公司已于 2020 年底交付首台电子束检测设备、2021 年交付首台 OCD 设备。



首款半导体电子束检测设备 2020 年底正式交付。随集成电路工艺节点推进,光学缺陷 检测设备已无法满足大规模生产和先进制程开发需求。上海精测从自主研发的电子束检 测设备 eViewTM 全自动晶圆缺陷复查设备,采用了扫描电子显微镜技术,具有超高分辨 率,可用于 10x nm 及以下集成电路制程的工艺缺陷自动检测。此外,设备搭载可自主 开发的基于深度神经网络的 AI 算法,提升缺陷分类准确度;运用全新超低压 EDSX射线 探测技术,实现轻量元素高分辨率解析。这一设备也是国内首台拥有完全自主知识产权 的半导体前道检测设备。



2021 年,公司出货国内首台 OCD 设备。2021 年 7 月 13 日,公司首台 12 寸独立式光 学线宽测量设备(OCD)与国内唯一 12 寸全自动电子束晶圆缺陷复查设备(Review SEM)顺利出机。12 寸独立式光学线宽测量机台(OCD)是该类型的国内首台机台,主要 用于 45nm 以下、特别是 28nm 平面 CMOS 工艺的量测,并可以延伸支持上述先进工艺 节点的快速线宽测量。EPROFILE 300FD 测量系统拥有完全自主知识产权,包括宽谱全 穆勒椭偏测头、对焦对位系统、系统软件等核心零部件均为自主研发,是真正意义上的 高端国产化机台。



睿励科学成立于 2005 年,专注于半导体量测检测设备。睿励的主营产品为光学膜厚测 量设备和光学缺陷检测设备,以及硅片厚度及翘曲测量设备等。睿励自主研发的 12 英 寸光学测量设备 TFX3000系列产品,已应用在 65/55/40/28纳米芯片生产线并在进行了 14 纳米工艺验证,在 3D 存储芯片产线支持 64 层 3DNAND 芯片的生产,并正在验证 96 层 3DNAND 芯片的测量性能。2021 年 3 月,睿励获得中微公司 1 亿元投资,其他股 东包括浦东科创、张江科投、国家大基金、上海创投、上海国盛等一众知名产业投资 机构。



2021 年 4 月 18 日,睿励首台自主研发的高精度光学缺陷检测设备(WSD200)装箱出 货,交付国内知名客户,这是睿励研发的光学缺陷检测设备进入集成电路晶圆缺陷检测 市场的重大突破。2021 年 6 月,公司自主研发的第三代光学膜厚测量设备 TFX4000i 交付设备。相对于早 已实现批量生产的 TFX3000P,TFX4000i 延续使用了与 TFX3000P 相同的主框架及软件 架构,最大程度保持了二代产品的优良测量性能和可靠性,同时 TFX4000i 新增加了反 射测量模块和深紫外测量模块,具有更宽的光谱范围,涵盖了更广泛的工艺段应用,可 以满足更先进的工艺要求。



中科飞测总部位于深圳龙华区,自主研发针对生产质量控制的世界领先的光学检测技 术,以工业智能检测设备为核心产品。公司最具代表的产品和服务有:三维形貌量测系 统 SKYVERSE-900 系列,表面缺陷检测系统 SPRUCE 系列,智能视觉缺陷检测系统 BIRCH 系列,3C 电子行业精密加工玻璃手机外壳检测系统 TOTARA 系列,公司产品已 经获得国内多家顶尖先进封装厂商的设备验收及批量订单,填补了国内集成电路先进封 装检测设备在高端市场的空白。



七、测试设备:用于测试晶圆片及成品



半导体测试包括晶圆允收测试(WAT)、晶圆检测(CP)、成品测试(FT) 。WAT 环 节涉及测试机、分选机、探针台;CP 由测试机、探针台搭配完成;FT 涉及测试机、分 选机搭配完成。晶圆检测(CP)是指在晶圆完成后进行封装前,通过探针台和测试机的配合使用,对 晶圆上的裸芯片进行功能和电参数测试。成品测试(FT)是指芯片完成封装后,通过分选机和测试机的配合使用,对封装完成 后的芯片进行功能和电参数测试。



测试机行业面临的测试任务日益复杂,测试机的测试能力和配置需求都在提高。随着 集成电路管脚数增多、测试时间增长,包括华峰测控在内的测试机企业越来越多地采用 多工位并测的方案来降低测试时间,推出测试覆盖面更广、资源更多的测试设备,不断 提高测试系统的可靠性和稳定性,以降低客户平均到每颗器件的测试成本。测试技术要求不断提高。测试产品技术发展趋势主要包括:(1)并行测试数量和测试 速度的要求不断提升;(2)功能模块需求增加;(3)对测试精度的要求提升;(4)要 求使用通用化软件开发平台;(5)对数据分析能力提升。



半导体测试设备市场呈现寡头垄断格局。集成电路检测在测试精度、速度、效率和可 靠性等方面要求高。全球先进测试设备制造技术基本掌握在美国、日本等集成电路产业 发达国家厂商手中,市场格局呈现泰瑞达、爱德万、科休、科利登等四家厂商寡头垄断。各家厂商在检测设备侧重点也有所区别,如泰瑞达(Teradyne)主要产品为测试机,爱 德万(Advantest)主要产品为测试机和分选机,科利登(Xcerra)主要产品为测试机, 东京电子(Tokyo Electron)主要产品为探针台,北京华峰主要产品为测试机,上海中 艺主要产品为分选机。爱德万和泰瑞达在全球测试设备合计市场份额达到 70%以上。华峰测控——国内半导体测试设备龙头,SoC 及大功率测试逐步突破。公司是一家聚 焦模拟和混合信号测试设备企业,主要面向集成电路封测、晶圆制造和集成电路设计企 业等客户。公司主要产品为半导体自动化测试系统和测试系统配件,公司的测试系统包 括 STS8200 系列、STS8250 系列和 STS8300 系列;测试系统配件主要包括浮动 V/I 源 表、时间测量、数字测量、及电器控制、交流 V/I 源表等关键测试模块。



公司已成长为国内最大的半导体测试系统本土供应商,也是为数不多进入国际封测市 场供应商体系的中国半导体设备厂商。目前全球半导体专用设备生产企业主要集中于欧 美和日本等,中国半导体专用设备自给率低。为推动我国半导体专用设备制造的技术升 级。公司通过承担 02 专项自主研发的 STS 8200 模拟器件测试系统、高端模拟混合电 路测试系统已得到客户认可和使用。新产能顺利释放,加大新产品布局投放。2021 年 9 月,公司天津产业基地正式启用。随着新基地的投入使用,公司产能瓶颈问题将得到大幅解决,并为公司研发、生产能力 更上一台阶奠定基础。公司持续推出新产品、新测试模块,以适应于 SoC、GaN、大功 率测试等更多增量需求,进一步拓宽测试能力。



长川科技——半导体测试设备优质标的,技术研发实力强劲。公司主要产品为测试机、 分选机、探针台和 AOI 设备及自动化设备,主要面向集成电路封测、制造、设计企业等 客户。公司的测试机包括大功率测试机(CTT系列)、模拟/数字混合测试机(CTA系列);分选机包括重力下滑式分选机(C1、C3、C3Q、C37、C5、C7、C8、C9、C9Q 系列)、 平移式分选机(C6、C7R 系列)等。



整合 AOI 检测优质标的,技术与客户互补效应强。长川科技于 2019 年收购 STI,STI 是研发和生产为芯片以及 wafer 提供光学检测、分选、编带等功能的集成电路封装检测 设备商。STI 的主要产品为 AT468 机台、Hexa 机台、iSort 机台及 iFocus 机台四种型号 高精度光学检测设备,面向市场包括传统封装、BGA、QFN、有引线封装、晶圆级封装 等封装测试市场。技术研发方面,STI 的 2D/3D 高精度光学检测技术(AOI)位于行业 前列,长川科技于 STI 通过深入研发合作,STI 可为公司探针台等产品在光学领域技术 难题的突破提供有力支持,客户方面,STI 与 TI、安靠、三星、日月光、美光、力成等 多家全球领先的 IDM 及封测厂建立长期稳定合作关系,为长川科技进入国际知名半导 体企业的供应体系提供有力支持。



八、化学机械抛光:全局纳米级平坦化



CMP 设备工艺复杂、研制难度大,为集成电路工艺流程中使用的主要设备之一。芯片 制造主要包括光刻、CMP、刻蚀、薄膜和掺杂等关键工艺技术,其中 CMP 是在芯片制 造制程和工艺演进到一定程度、摩尔定律因没有合适的抛光工艺无法继续推进之时才诞 生的一项新技术。CMP 设备主要用于单晶硅片制造和芯片制造前道工艺,依托 CMP 技 术的化学-机械动态耦合作用原理,通过化学腐蚀与机械研磨的协同配合作用,实现晶圆表面多余材料的高效去除与全局纳米级平坦化——全局平整落差 5nm 以内的超高平 整度,CMP 设备集摩擦学、表/界面力学、分子动力学、精密制造、化学/化工、智能控 制等多领城最先进技术于一体,工艺复杂。



CMP 设备结合机械抛光和化学抛光长处,在超大规模集成电路中有广泛应用。CMP 的 主要检测参数包括研磨速率、研磨均匀性和缺陷量。研磨均匀性又分为圆片内研磨均匀 性和圆片间研磨均匀性。对于 CMP 而言,主要的缺陷包括直接影响产品的成品率的表 面颗粒、表面刮伤、研磨剂残留等。传统的机械抛光和化学抛光去除速率均低至无法满 足先进芯片量产需求, CMP 技术利用了磨损中的“软磨硬”原理,综合两者优势,避 免了由单纯机械抛光造成的表面损伤,即用较软的材料来进行抛光以实现高质量的表面 抛光,将化学腐蚀和机械研磨作用达到一种平衡,最终实现晶圆表面的超高平整度。未 经加工的原料晶圆裸片的表面凹凸不平,无法直接在上面印制电路图形。因此,需要先 通过研磨和化学刻蚀工艺去除表面瑕疵,然后通过抛光形成光洁的表面,再通过清洗去 除残留污染物,即可获得表面整洁的成品晶圆。因而,CMP技术为后续重复进行光刻、 刻蚀、薄膜和掺杂等关键工艺提供了重要的基础。



CMP 设备功能的实现需要抛光、清洗、传送三大模块组合作业。10nm 的全局平整度 要求,相当于 44 万平方米面积中任意两点的高低差不超过 0.03 毫米、表面粗糙度小于 0.5nm,作业过程中,抛光头将晶圆待抛光面压抵在粗糙的抛光垫上,借助抛光液腐蚀、 微粒摩擦、抛光垫摩擦等耦合实现全局平坦化。抛光盘带动抛光垫旋转,通过先进的终 点检测系统对不同材质和厚度的膜层实现 3~10nm 分辨率的实时厚度测量防止过抛。抛光头用于全局分区施压,其在限定的空间内对晶圆全局的多个环状区域实现超精密可 控单向加压,从而可以响应抛光盘测量的膜厚数据调节压力控制晶圆抛光形貌,使晶圆 抛光后表面达到超高平整度的控制。制程线宽不断缩减、抛光液配方愈加复杂均加大了 清洗的难度,对清洗后的颗粒物数量要求也指数级降低,需要 CMP 设备中清洗单元在 满足清洁效果的同时保证晶圆表面极限化微缩的特征结构不被破坏。



研磨材料更加丰富,CMP 设备升级需求增加。90~65nm 节点,随着铜互连技术和绝 缘材料低 k 介质的广泛采用,CMP 的研磨对象主要是铜互连层、绝缘膜和浅沟槽隔离。28nm 后,逻辑器件的晶体管中引入高 k 金属栅结构(HKMG),从而推动了虚拟栅开口 CMP 工艺和替代金属栅 CMP 工艺两种关键平坦化工艺的发展。在 22nm 开始出现的 FinFET 晶体管增加了虚拟栅平坦化工艺,也是实现后续 3D 结构刻蚀的关键技术。先进 的制程节点发展至 7nm 以下时,芯片制造过程中 CMP 的应用在最初的氧化硅 CMP 和 钨 CMP 基础上新增了包含氮化硅 CMP、鳍式多晶硅 CMP、钨金属栅极 CMP 等先进 CMP 技术,所需的抛光步骤也增加至 30 余步,大幅增加了集成电路制造过程中对 CMP 设备的采购和升级需求。



抛光、清洗模块有定期维护更换需求,带动 CMP 设备厂商技术服务收入不断提升。CMP设备属于集成电路设备中使用耗材较多、核心部件有定期维保更新需求的制造设备 之一。CMP利用机械力作用于圆片表面,由研磨液中的化学物质与圆片表面材料发生化 学反应来增加其研磨速率,首先让研磨液填充在研磨垫的空隙中,圆片在研磨头带动下 高速旋转,与研磨垫和研磨液中的研磨颗粒发生作用,此时需要控制研磨头下压力等其 他参数。CMP工艺中最重要的两大组成部分是研磨液和研磨垫。晶圆厂需要更换设备外 部的抛光液、抛光垫等,同时需要对设备内部长时间运行磨损的抛光头、清洗等单元进 行定期维保更新,且设备配套服务需求会随着厂商销售设备数量的增加而快速增长。因 此 CMP 设备厂商在设备出货后,将向客户提供专用耗材销售和关键耗材维保等技术服 务,随之实现有长期稳定和高盈利能力的服务收入。



中国大陆 CMP 设备市场规模第一,海外龙头仍占据大份额。2018 年全球 CMP 设备市 场规模约 18.4 亿美元 2013-2018 年 CAGR 20.1%。2019 年受全球半导体景气度下滑 影响,全球 CMP 设备市场规模略有下滑,2020 年市场规模迅速回升至 15.8 亿美元, 同比增长 5.8% 。其中中国大陆市场规模已跃升至全球第一 ,达到 4.3 亿美元,市场份 额 27%。从市场格局来看,应材、日本荏原在全球占主导地位,2020 年两家合计市占 率超过 93%。



华海清科 CMP 设备填补国内空白,产品广泛应用于国内外大生产线。公司于 2013 年 4 月成立,主要产品为先进集成电路制造前道工序、先进封装等环节必需的化学机械抛光 (CMP)设备,是目前国内唯一一家为集成电路制造商提供 12 英寸 CMP 商业机型的高 端半导体设备制造商。公司的 CMP 设备总体技术性能已达到国内领先水平,已实现在 国内外知名客户先进大生产线的产业化应用,在逻辑芯片、3D NAND、DRAM 制造等领 域的工艺技术水平已分别突破至 14nm、128 层、1X/1Ynm,均为当前国内大生产线的 最高水平。公司研制的 CMP 设备集先进抛光系统、终点检测系统、超洁净清洗系统、 精确传送系统等关键功能模块于一体,其内部高度集成的关键核心技术数十项,所产主 流机型已成功填补国内空白,打破了国际巨头在此领域数十年的垄断。



8 英寸、12 英寸系列 CMP 设备均已实现产业化应用。公司 12 英寸系列 CMP 设备 (Universal 300 型、Universal 300 Plus 型、Universal300 Dual 型、Universal-300X 型) 在国内已投产的 12 英寸大生产线上实现了产业化应用,截至 2021 年底累计已量产晶圆 超 1,300 万片;8 英寸系列 CMP 设备(Universal-200 型、Universal-200 Plus 型)已在 国内集成电路制造商中实现了产业化应用,主要用于晶圆制造、MEMS 制造及科研攻关 等领域。截至 2021 年末,公司已发出未验收结算的 CMP 设备 69 台,未发出产品的在 手订单超过 70 台。



长江存储 2019~2020 年共招标化学机械抛光设备 62 台,其中华海清科中标 22 台,应 用材料中标 40 台。分具体产品来看,华海清科中标的 22 台设备中,氧化硅化学机械抛 光机 9 台,层间介质层化学机械抛光机 6 台,晶圆硅面化学机械抛光机 6 台。应用材料 中标的 40 台设备包括铜化学机械抛光机 20 台,前段钨化学机械抛光机 13 台,晶圆硅 面化学机械抛光机 2 台,多晶硅化学机械抛光机 2 台,氧化硅化学机械抛光机 1 台,浅 槽隔离化学机械抛光机 1 台。



九、半导体材料:晶圆厂持续扩产,材料拐点已至



9.1 晶圆代工扩产拉动材料需求持续增长



2021 年半导体市场规模超预期增长,且未来随着晶圆厂逐步投产,行业产值有望在 2030 年超过万亿美元市场。从需求端来看,以汽车、工业、物联网、5G 通讯等代表 的需求驱动驱动全球半导体产业进入“第四次半导体硅含量提升周期”。根据 SEMI, 2021 年全球半导体产值有望超过 5500 亿美元,达到历史新高,且在 2022 年根据 SEMI 对于行业资讯机构的统计,平均对于 2022 年的增长预期将达到 9.5%,即 2022 年市场 规模有望突破 6000 亿美元(此为平均值)。此外随着全球 8 寸及 12 寸晶圆新产能逐步 的在 2022 年至 2024 年的投放,至 2024 年全球将会有 25 家 8 寸晶圆厂投产,60 座 12 寸晶圆厂投放。随着该 85 座晶圆厂的投放,至 2030 年全球半导体晶圆市场将有望达到 万亿美元市场,实现年复合增长率约 7%。



2021 年全球半导体材料市场规模创新高,中国大陆需求占比 18.6%。根据 SEMI,强 劲的下游需求及晶圆产能的扩张驱动 2021 年全球半导体材料市场规模同比增长 15.9% 达到 643 亿美金新高。其中晶圆制造材料和封装材料市场规模分别为 404 亿美金和 239 亿美金,同比增长 15.5%和 16.5%。晶圆制造环节中的硅片、化学品、CMP 和光掩膜 环节是增速最快的几大领域,而硅片也是晶圆制造中成本占比最高的环节,市场规模超 过 130 亿美金。由于半导体芯片存在较大的价格波动,但是作为上游原材料的价格相对 较为稳定,因此半导体材料可以被誉为半导体行业中剔除价格影响最好的参考指标之一。



在半导体原材料领域,集成电路技术发展到微纳电子制造的物理极限,单独依靠特征尺 寸缩小已不足以实现技术发展目标。新材料的引入以及相应的新材料技术与微纳制造技 术相结合共同推动着集成电路不断发展。集成电路制造工艺用到元素已经从 12 种增加 到 61 种。伴随微纳制造工艺不断发展,对材料的纯度,纳米精度尺寸控制、材料的功 能性等都提出了严苛的需求。



在全球半导体材料的需求格局之中,中国大陆从 2011 年的 10%的需求占比,至 2021 年已经达到占据全球需求总量的 18.6%,仅次于中国台湾(22.9%),位列全球第二。随着整个半导体产业的持续增长,以及中国大陆不断新建的代工产能,我们有望看到中 国大陆半导体市场规模增速将会持续超越全球增速的同时,攀登至全球需求第一的宝座。



半导体材料国产化率仍待转化。在国家产业政策大力扶持和国内半导体市场稳定增长等 利好条件下,特别是国家“02 专项”等专业化科研项目的培育下,国内半导体材料领 域将涌现更多具有国际竞争力的公司和产品,在更多关键半导体材料领域实现进口替代, 打破国外厂商的垄断。半导体芯片制造工艺半导体将原始半导体材料转变成半导体芯片, 每个工艺制程都需要电子化学品,半导体芯片造过就是物理和化学的反应过程,半导体 材料的应用决定了摩尔定律的持续推进,决定芯片是否将持续缩小线宽。目前我国不同 半导体制造材料的技术水平不等,但整体与国外差距较大,存在巨大的国产替代空间。



9.2 各类材料持续持续突破,业绩佐证国产替代正式开幕



随着半导体市场晶圆代工的持续扩产,对于晶圆制造中不可缺失的基础材料将会有着非 常大的需求拉动,而在此阶段我们可以看到随着技术及工艺的推进以及中国电子产业链 逐步的完善,在材料领域已经开始涌现出各类已经进入批量生产及供应的厂商。



除了以上我们节选的部分半导体及电子材料厂商对于中国卡脖子关键材料的替代以外, 还有众多 A 股上市公司在努力的投入研发力量致力于更多材料的国产化。无论是成本占 比最大的半导体硅片,再到被美国高度垄断的CMP(抛光液及抛光垫)材料,均都实现 了一定的技术突破,在不同的实现果实的收获。



十、光刻胶:产品逐步突破,国产替代已开启



光刻胶做为半导体生产中光刻工艺的核心材料,其主要工作原理是:光刻工艺利用光刻 胶对于各种特殊射线及辐射的反应原理,将事先制备在掩模上的图形转印到晶圆,建立 图形的工艺,使硅片表面曝光完成设计路的电路图,做到分辨率清晰和定位无偏差电路, 就如同建筑物一楼的砖块砌起来和二楼的砖块要对准,叠加的层数越高,技术难度大。从光刻胶的发展历程看,20 世纪 50 年代至今,光刻技术经历了紫外全谱(300- 340nm),G线(436nm),I线(365nm),深紫外(Deep Ultraviolet,DUV,248nm和 193nm),以及目前最引人注目的极紫外(EUV,13.5nm)光刻,电子束光刻等六个阶 段,随着光刻技术发展,各曝光波长的光刻胶组分(成膜树脂、感光剂和添加剂等)也 随之变化。



根据反应机理和显影原理,可以将光刻胶分为正性光刻胶和负性光刻胶。正性光刻胶形 成的图形与掩膜版(光罩)相同,负性光刻胶显影时形成的图形与掩膜版相反。根据感 光树脂的化学结构,光刻胶可分为光聚合型,光分解型和光交联型。根据应用领域,光 刻胶可以分为 PCB 光刻胶、面板光刻胶和半导体光刻胶。



从组成成分来看,光刻胶主要由成膜树脂、光敏物质、溶剂和助剂组成。其中,成膜 树脂是光刻胶的主要成分。



树脂:光刻胶的主要原料,具备光敏性和能力敏感的特殊聚合物,一般是由碳、氢 和氧组成的大分子。经光照后在曝光区能很快地发生固化反应,溶解性、亲和性等 发生明显变化,用适当的溶剂处理就可以得到图像。随着制程的提升、曝光波长的 缩小,光刻胶中树脂的成本不断提升,对光刻胶的性能有重要影响。



CAR 作用原理:在感光组成物中加入光致产酸剂,光致产酸剂(Photoacid Generator,PAG) 在光照射下生成酸,酸作为催化剂催化光刻胶树脂发生反应, 通过化学方法将光学信号进行放大,解决光刻胶的感光效率问题。同时,使用聚对 羟基苯乙烯作为主要的成膜树脂,解决了在 248 nm 波长下的透光性的问题。缺点:曝光中产生酸,容易污染镜头,并且后烘过程中产生的 H + 扩散造成线边粗糙度的 增加。



g 线/i 线光刻胶:主要用酚醛树脂和重氮萘醌体系,酚醛树脂为成膜树脂、重氮萘 醌为感光剂,曝光后二者反应的部分易于溶于显影剂被去除。添加剂:在正型 i-线 光刻胶中加入添加剂可以提高抗刻蚀性能、感光性能等。



KrF 光刻胶:光源强度大大降低,对光刻胶敏感性提出极高要求,IBM 通过化学放 大光刻胶(Chemically Amplified Resists,CARs,也叫化学增幅胶),提高光刻胶感 光度。



ArF 光刻胶:聚甲基丙烯酸酯类型的光刻胶,随着光刻制程不断降低,光刻胶的胶 膜厚度也需要不断下降,尤其是在 193 nm 光刻工艺基础上,发展了 193 nm 浸没 式光刻以及多重曝光的技术以提升光刻胶的分辨率,这使得光刻胶的抗刻蚀性能需 要进一步提升(聚甲基丙烯酸酯类型的光刻胶抗腐蚀性能低)。



行业壁垒高耸,研发能力要求极高,资金需求巨大。在上述我们也对众多光刻胶进行 了简单的分类,但实际操作中由于各个客户的产品的要求不同,对应的光刻胶的具体需 求料号众多。这一点将会直接导致光刻胶企业在生产制作光刻胶的时候需要具备足够的 配方研发能力,对众多国内仍在起步的厂商无疑是个巨大的挑战。另一方面由于光刻胶 最终需要应用在光刻机上,以 ASML 为例,EUV 光刻机常年保持在 1 亿欧元左右, 248nm 的 KrF 光刻机也基本维持在一千万欧元以上。从光刻胶全球市场来看,根据 Cision,2019 年约有 91 亿美元的市场规模,至 2022 年 预计将达到 105 亿美元,实现复合增长 5%。而其中半导体、LCD、PCB 这三类主要的 应用场景分别占据了市场空间的 24.1%、26.6%、及 24.5%,分别对应 2019 年的市场 规模 22 亿美元、24 亿美元、及 22 亿美元。



Cision 同时也统计了中国光刻胶市场的规模,在 2019 年约为 88 亿元人民币,至 2022 年预计将达到 117 亿元人民币,实现复合增长 15%。如若我们根据全球光刻胶的应用 场景分布来看,在中国大陆所需要的半导体、LCD、及PCB的市场需求分别将达到21、 23、22 亿元人民币。248nm及以上高端光刻胶为全球市场的主流。中国产业信息网的数据显示,2019年中 国光刻胶市场规模在 158 亿人民币,而其中半导体用光刻胶市场达到 20.7 亿人民币;至 2020 年的预期,国内光刻胶市场有望达到 176 亿人民币,而半导体用光刻胶则将达 到 25 亿人民币,均将实现超过 10%的行业规模增长。而随着国内晶圆厂不断扩产,以 及制程和工艺的提高,有望在后续给光刻胶行业带来更大的增量空间。



然而我们复盘过往中国半导体光刻胶市场规模来看,通过智研产业研究院的统计,在 2015 年中国半导体光刻胶市场规模仅为 10 亿元左右,至 2020 年已经成功提高至约 25 亿人民币的市场规模。而其中的核心原因我们认为是中国半导体晶圆代工产业逐步完善, 晶圆厂产能持续增长带来的市场增长。而随着未来中国内地将要兴建更多的产能之时, 我们有望看到中国半导体光刻胶需求的持续高增长。



虽然中国市场增速巨大,但是从产业端来看,全球共有 5 家主要的光刻胶生产企业。其 中,日本技术和生产规模占绝对优势。而其中在半导体光刻胶中,占据主导位置的还是 以日美两国为主。国产光刻胶份额:受益于半导体、显示面板、PCB 产业东移的趋势,根据雅克科技,自 2011 年至今,光刻胶中国本土供应规模年华增长率达到 11%,高于全球平均 5%的增 速。根据智研咨询,2019 年中国光刻胶市场本土企业销售规模约 70 亿元,全球占比约 10%,发展空间巨大。目前,中国本土光刻胶以 PCB 用光刻胶为主,平板显示、半导 体用光刻胶供应量占比极低。中国半导体光刻胶的占比仅有 2%,LCD 仅为 3%,而最 为简单 PCB 光刻胶占比高达 94%。



整体来看,全球光刻胶行业主要被 JSR、东京应化、罗门哈斯、信越化学、及富士合理 占据,前五大家占据了全球光刻胶领域的 86%;如若聚焦到全球半导体用光刻胶领域, 前六大家(主要以日本为主)实现了对于市场的 87%的占据。



国产半导体光刻胶的发展速度远远慢于其他产业,原因在于:1、 光刻胶的验证周期长。光刻胶批量测试的 过程需要占用晶圆厂机台的产线时间, 在产能紧张的时期测试时间将会被延长。测试的过程需要与光刻机、掩膜版及 半导体制程中的许多工艺步骤配合,需要付出的成本极高。通常面板光刻胶验 证周期为 1-2 年,半导体光刻胶验证周期为 2-3 年。但是验证通过之后便会形成 长期供应关系,甚至在未来会推动企业之间的联合研发。2、 原材料成膜树脂具有专利壁垒。树脂的合成难度高,通常光刻胶厂商在合成一 种树脂后会申请相应的专利,目前树脂结构上的专利主要被日本公司占据。



3、 光刻胶产品品类多,配方需要满足差异化需求。根据产品需求来调配适合的树 脂来满足差异化需求对于光刻胶企业是一大难点,也是光刻胶制造商最核心的技术。以 TOK 为例,通过其产品系列可以看出,根据关键尺寸、应用层、正负 胶等划分,可以分为几十个系列。彤程新材持续推出新产品,KrF光刻胶方面, 公司产品种类涵盖 Poly、AA、Metal 等关键层工艺以及 TM/TV、Thick、Implant、 ContactHole 等应用领域,2021 年公司新增 21 支新产品通过客户验证并获得订 单,其中248nm光刻胶10支,I线光刻胶9支,LED及先进封装用光刻胶2支。



复盘半导体光刻胶发展历程,我们总结出以下结论:



1)光刻胶与工艺节点发展息息相关。光刻胶合成工艺的演进是基于制程的不断推进, 在研发过程中需要与光刻机厂商密切合作。日本光刻胶从开始的模仿到在 KrF 胶实现超 越,背后是半导体产业链向日本转移、日本政府对半导体产业链的大力扶持和日本光刻 机厂商的崛起。当前背景下,先进节点技术开发速度有所放缓,国内半导体产业发展, 国产化需求为中国企业带来发展机遇。



2)日本光刻胶巨头均起源于化工企业,本质上是早期光刻胶的底层技术和原材料与精 细化工的产物相同。TOK 最早切入,主要系本土客户培养本土供应链的需求;JSR 的切 入背景是 1970 年代石油危机下化工企业利润空间受到挤压,从而寻求第二增长曲线;信越从硅化工业务切入是因为 1990s 日本国内经济衰退,公司基于原有主营业务寻求协 同发展。彤程新材是全球最大的轮胎用特种材料供应商,生产和销售的轮胎用高性能酚 醛树脂产品在行业内处于全球领导者地位。电子级酚醛树脂对产品纯度要求非常高,在 KrF 光刻胶中,树脂占总成本的超过 70%,且在生产树脂中需要保证不同批次的高分子 树脂的分子量分布和性能都相差无几,故而成膜树脂的合成难度最高。目前彤程新材已 成功自主开发电子级酚醛树脂,在光刻胶、环氧塑封料、覆铜板等领域均有布局,并通 过部分客户的认证,开始批量供应。



3)光刻胶公司与下游客户绑定紧密,同时布局光刻胶配套材料,客户粘性强。光刻胶 存在一定的先发优势,但技术壁垒并不至于无法突破,找到体系内符合要求的基团也可 实现突破。但光刻胶对半导体生产过程的稳定性至关重要,验证周期长,下游客户不会 轻易更换。提升份额的途径在于打入客户新增产能供应链,以及配套材料的研发销售, 如 TOK 生产显影剂和边缘去除剂,JSR 生产底部抗反射涂料,信越生产石英掩模毛胚和 硅抗反射涂层。彤程新材 I 线光刻胶和 KrF 光刻胶以批量供应于中芯国际、华虹宏力、 长江存储、华力微电子、武汉新芯、华润上华等 13 家 12 寸客户和 17 家 8 寸客户,同 时公司 2 万吨光刻胶相关配套试剂项目,已进入洁净间及机电设备的安装高峰,预计 2022 年 6-7 月份能完成全部建设,将在下半年开始进入试生产。



由贸易至上游制造,多元拓展丰富业务,打造平台型企业。彤程新材自 1999 年成立, 主要从事橡胶助剂商贸代理业务,在 2006 年逐步转型上游制造,且直至现在,公司已 经开拓了多个研发测试中心且投建多个国际化标准的生产基地;同时在 2019 年至今, 公司再次开拓电子材料业务及可降解材料业务,实现公司初步战略“一体两翼、三大业 务”的布局。向上游延伸布局,研发实力及盈利能力齐升。公司当前积极布局光刻胶(IC+面板)上 游,自下而上的产业链整合一方面大幅提高公司光刻胶研发实力,还将提升公司利润水 平,实现卡脖子材料突破的同时盈利丰厚。电子材料、可降解材料、及汽车/轮胎特种 材料,彤程新材横向在专业范围内进行多品类拓展,纵向整合产业链上下游,已初步实 现新材料平台战略。



多业务同步成长及拓展,打造平台化目标。复盘全球化学平台型龙头信越化学的成长, 信越化学自始至终都坚持多元发展、产品/销售/发展三位一体、以及使用最核心的技术 实现上下游全链条打通的战略进行发展及成长。而彤程新材当前也实现了三大业务的并 驾齐驱,且与信越化学样坚持上下游整合,基于同源技术的横纵拓展,以及有产品终端 向上反溯的基因,因此我们认为彤程新材同样有望成为中国内的大型平台型厂商。



十一、CMP:突破重围,国产化启动



CMP 化学机械抛光(Chemical Mechanical Polishing)工艺是半导体制造过程中的关键 流程之一,利用了磨损中的“软磨硬”原理,即用较软的材料来进行抛光以实现高质量 的表面抛光。通过化学的和机械的综合作用,从而避免了由单纯机械抛光造成的表面损 伤和由单纯化学抛光易造成的抛光速度慢、表面平整度和抛光一致性差等缺点。



化学机械抛光采用将机械摩擦和化学腐蚀相结合的工艺:化学腐蚀 – 抛光液:首先是介于工件表面和抛光垫之间的抛光液中的氧化剂、催化剂 等于工件表面材料进行化学反应,在工件表面产生一层化学反应薄膜;机械摩擦 – 抛光垫:然后由抛光液中的磨粒和由高分子材料制成的抛光垫通过机械作 用将这一层化学反应薄膜去除,使工件表面重新裸露出来,然后再进行化学反应。整个过程是化学作用与机械作用的交替进行,最终完成对工件表面的抛光,速率慢者控制抛光的速率。



CMP包括三道抛光工序,主要运用到的材料包括抛光垫、抛光液、蜡、陶瓷片等。不同 工序根据目的的不同,分别需要不同的抛光压力、抛光液组分、pH 值、抛光垫材质、 结构及硬度等。CMP 抛光液和 CMP 抛光垫是 CMP 工艺的核心要素,二者的性质影响 着表面抛光质量。而在 CMP 环节之中,也存在着各式不同的类别,例如钨/铜及其阻挡 层、铝、STI、ILD 等。集成电路工艺技术的每一次精进,都伴随着 CMP 技术的不断深入。随着摩尔定律的延 续,当制造工艺不断向先进制程节点发展时对 CMP 技术的要求相应提高、步骤也会不 断增加,CMP 设备首先应用于 1988 年 IBM 公司 4M DRAM 芯片的制造,此后随器件特 征尺寸(CD)微细化、多层布线和新型材料出现,CMP 技术的重要性不断凸显,首先 用于后道工艺金属间绝缘介质(IMD)层的平坦化,之后用于金属钩(W)的平坦化, 近年来又用于浅沟槽隔离(STI)和铜(Cu)的平坦化。STI(Shallow Trench Isolation)即浅沟槽隔离层,他的作用主要是用氧化层来隔开各个门 电路(Gate),使各门电路之间互不导通。STI CMP 这就是将晶圆表面的氧化层磨平,最终 正好使 SIN 暴露出来。Oxide CMP包括了 ILD CMP及 IMD CMP,主要是将氧化硅(Oxide) 磨平至一定厚度,实现平坦化。



研磨材料更加丰富,CMP 需求增加。90~65nm 节点,随着铜互连技术和绝缘材料低 k 介质的广泛采用,CMP 的研磨对象主要是铜互连层、绝缘膜和浅沟槽隔离。28nm 后, 逻辑器件的晶体管中引入高 k 金属栅结构(HKMG),从而推动了虚拟栅开口 CMP 工艺 和替代金属栅 CMP 工艺两种关键平坦化工艺的发展。在 22nm 开始出现的 FinFET 晶体 管增加了虚拟栅平坦化工艺,也是实现后续 3D 结构刻蚀的关键技术。先进制程节点发 展至 7nm 以下时,芯片制造过程中 CMP 的应用在最初的氧化硅 CMP 和钨 CMP 基础上 新增了包含氮化硅 CMP、鳍式多晶硅 CMP、钨金属栅极 CMP 等先进 CMP 技术,所需 的抛光步骤也增加至 30 余步,大幅增加了集成电路制造过程中对 CMP 设备的采购和升 级需求。



根据 TECHCET,全球 CMP 材料市场规模在 2021 年达到超过 30 亿美金,其中抛光垫市 场规模约 11.3 亿美金,抛光液市场规模 14.3 亿美金,预计 CMP 材料市场 2022 年同比 增长 9%至 33 亿美金。



目前市场上抛光垫目前主要被陶氏化学公司所垄断,市场份额达到 90%左右,其他供 应商还包括日本东丽、3M、台湾三方化学、卡博特等公司,合计份额在 10%左右。抛 光液方面,目前主要的供应商包括日本 Fujimi、日本 HinomotoKenmazai,美国卡博特、 杜邦、Rodel、Eka、韩国 ACE 等公司,占据全球 90%以上的市场份额,国内这一市场 主要依赖进口,国内仅有部分企业可以生产,但也体现了国内逐步的技术突破,以及进 口替代市场的巨大。



鼎龙股份——国内 CMP 抛光垫龙头,多产品维度突破。鼎龙股份成立于 2000 年,二 十余年来始终重视技术整合和技术平台,已打造七大技术平台。2013 年全面完整开启 彩色聚合碳粉全球布局。2013 年起延伸布局拓展至集成电路行业,着重研发 CMP 抛光 垫。当前公司在 CMP 抛光垫领域已经实现全制程、全节点覆盖,在 2021 年已成为部分 客户一供,国内领先优势显著,未来随着客户产能持续释放,及公司份额提升,抛光垫 业务有望迎来持续放量增长。CMP 抛光液方面,公司氧化层抛光液产品在 2022 年取得 小量订单,Al 制程抛光液进入客户吨级采购阶段,客户端取得突破性进展;氧化层抛光 液获得国内主流晶圆厂客户 20 吨订单。此外公司实现自主制备抛光液核心原材料研磨 粒子,一方面打破海外垄断,同时提升了盈利能力。清洗液方面公司已取得 Cu 制程CMP 清洗液小量订单。



柔显及其他材料蓄势待发,打开新增长极。YPI 方面,公司 2021 年完成客户验证并实 现近千万营收,2022 年跟随下游 AMOLED 厂稼动率提升,公司 YPI 或进一步放量。公 司预计 2025 年 PSPI 国内市场规模有望达到 35 亿元,TFE-INK 接近 10 亿元,当前行业 被海外垄断背景下,鼎龙 PSPI、TFE-INK 产品中试结束且客户验证良好。我们认为公司 在第一成长线 CMP Pad 逐步在国内外同步发力期间,其他电子材料产品有望逐步推动 公司天花板及未来空间的发展,打开第二/三增长曲线。安集科技成立于 2006 年 2 月,坚持自主创新,长期致力于为集成电路行业提供汇集创 新驱动、高性能及成本优势的产品和技术解决方案。当前公司成功打破了国外厂商对集 成电路领域化学机械抛光液的垄断,实现了进口替代,使我国具备在该领域的自助供 应能力。公司主营业务为关键半导体材料的研发和产业化,目前产品包括不同系列的化 学机械抛光液和光刻胶去除剂,主要应用于集成电路制造和先进封装领域。



研磨液多产品得以突破,紧跟行业多面拓展。当前公司拳头产品铜(含阻挡层)已经在多 方客户实现突破,14nm 稳定量产的同时,10-7nm 逐步突破,并且突破逻辑、存储两大 领域。此外公司钨研磨液已在长存得到应用,也在积极配合客户实现二氧化铈的验证。在 光刻胶去除剂方面,公司光刻胶去除剂已量产并且持续扩大应用;28nm 技术节点后段硬 掩模工艺光刻胶去除剂的验证工作正在按计划进行,以加快实现国产化供应;14nm 技术 节点后段蚀刻残留物去除剂的研究仍在按计划进行。国内需求巨大,客户+品类同步拓展,渗透率提升带动营收利润天花板激增。随着内资晶 圆厂扩产加速,制程提高,对于抛光液的用量得到了明显的提升。安集科技从铜向钨,钨 向氧化物方向的品类推展将近一步实现国产替代,完成对自身潜力的进一步提高。



十二、硅片:“第四次硅含量提升周期”,全球硅片需求大幅提升



12 英寸硅片主要用于 65nm 以下节点,也是台积电千亿资本开支主要投资领域。12英 寸硅片主要用于制程节点较为先进的产品,根据 SUMCO 估计,目前 12 英寸硅片需求 中接近 80%都是用于 65nm以下较为先进的制程。从硅片的直接下游晶圆厂来看,台积 电 2021 年 4 月宣布的三年千亿美金资本开支,其中 2021 年的超 300 亿美金资本开支 中,80%用于先进制程,包括 3/5/7nm;2022 年 CaPex 指引 400-440 亿美金,其中 70- 80%用于先进制程,包括 2/3/5/7nm。中芯国际表示,公司 2022 年 12 英寸产能增长将 远远超过 2021 年。联电 2021 年起的三年计划投资 1500 亿新台币(约合 54.1 亿美元) 用于台湾省 12A 厂 P5、P6 的扩产。华虹三座 8 英寸厂 2021 年全年满产,无锡 12 英寸 厂产能持续爬坡,2022 年月产能预计由年初的 6.5 万片提升至年底 9.5 万片。



逻辑芯片和存储是 12 英寸需求增长主要驱动力。根据 SUMCO 估计,按终端应用领域 来看,智能手机和数据中心是 12 英寸硅片需求的两个最大来源,同时也是到 2025 年 12 英寸硅片需求绝对值增长最大的部分。5G、远程办公等数字化需求使得全球产生的 数据量发生爆炸式增长,从而推动了智能手机和数据中心对存储和逻辑芯片需求的增长。



物联网、汽车电动化等趋势带动 8 英寸硅片需求增长。按照产品来分,全球 8 英寸晶圆 代工产能中 CIS 占比最高,达到 22%,模拟芯片及功率分立器件分列二、三位,占比 分别为 19%、16%,此外显示驱动芯片(占比 11%)、MCU(占比 10%)与指纹识别 传感器(占比 6%)同样占据重要地位。基于上述芯片的需求预测,在不考虑主要产品 大规模转移至 12 英寸平台的假设下,预计 2021-2025 年全球 8 英寸代工需求有望实现 3.5%的年复合增长率,主要基于:1)物联网快速渗透,人工智能装置的数量提升带动 指纹识别产品、电源芯片、智能设备 MCN 等需求快速增长;2)汽车电动化及 ADAS 的 快速发展带动 CIS、功率器件等需求提升;3)疫情后汽车/工业/消费电子等终端市场需 求复苏。



中国大陆厂商是 8 英寸晶圆扩产主力军。SEMI 预计 2020~2024 年全球 8 英寸晶圆厂的 产能将提高 17%,达到每月 660 万个晶圆的历史新高,并预计到 2021 年年底,中国大 陆 8 英寸的产能将居全球领先地位,市场份额将达到 18%。从集微网统计的国内晶圆 厂产能及扩产计划来看,国内 8 英寸 2020 年产能约 74 万片/月,总计划产能达到 135 万片/月。



行业新增产能有限,下游硅片库存连续下降。从当前全球半导体硅片实际供应量来看, SUMCO 估计 2021Q4 全球 8 英寸硅片月出货量约 600 万片,12 英寸硅片月出货量接近 800 万片。在下游需求非常旺盛的情况下,硅片出货量在 2021 年三四季度呈现持平的 情况,也反映了全球硅片产量当前几乎达到了顶点,少有新增产能贡献。而从客户 12 英寸硅片库存来看,客户每月的硅片投入量均大于购买量,2021 年硅片库存已经呈现 连续 15 个月下降。SUMCO 估计 2022Q1 客户库存天数已经下降到仅 1 个月。



SUMCO:所有产能已被长协订单订满至 2026 年



SUMCO 2021Q4 报继续靓丽。SUMCO 在 2021Q4 实现收入 912 亿日元,同比增长 25.7%,环比增长1.9%,超过公司此前预期的 885亿营收。2021Q4毛利率达到 25.2%, 净利率 15.5%。展望 2022Q1,公司预计营收达到 990 亿日元,环比提升 8.6%。公司 认为 2021Q4 和 2022Q1 的营收增长主要来源于价格提升,2021 年全年公司 12 英寸硅 片价格提升了约 10%,由于公司 12 英寸几乎全部是长协客户,故 2021 年公司 12 英寸 价格的提升主要来源于原有长协订单价格的提升而非现货价格提升。



2021Q4:下游逻辑和存储对 300mm 硅片需求仍然非常旺盛,供应紧张持续;200mm 及以下规格的硅片同样由于汽车电子、消费及工业需求,行业同样供不应求,这也与我 们多次强调的物联网、工业与汽车是第四次硅含量提升核心应用相互验证。价格方面, 公司已有长协订单价格不变,12 英寸和 8 英寸产品现货价格持续走高。2022Q1:12 英寸及 8 英寸硅片供需失衡延续。价格方面,12 英寸 Greenfield 的长 协订单 2022 年就已经开始签订。不同客户价格有差异,但总体来讲长协订单的价格设 计是在未来几年阶梯式上升的,并预计在 2024 年达到价格高点,2025-2026 年持平。公司在 8 寸产能方面没有更多投资,因此价格预计跟随市场价格提升。



台塑胜高方面,公司计划扩产量接近 SUMCO 扩划扩产产能的一半,此前台塑胜高的接 近 100%的订单都来自于现货市场,未来(包括扩产后)公司长协订单将占 50%。长期来看,SUMCO 2026 年之前的全部产能扩张及新增产能建设都已经被长协订单订 完!公司 2022-2023 年到期的 12 英寸长协订单客户,几乎都在 2022 年会重新跟客户 签订长约。



信越化学:硅片产能持续满产,电子材料业务稳健增长



信越 CY21Q4 财报显示其电子材料业务营收 15.9 亿美金,同比增长 11.7%,营业利润 5.7 亿美金,同比增长 12.8%,营业利润率达到 35.9%。信越化学认为从宏观环境,包 括地缘政治因素,以及近期全球芯片紧缺使得下游客户库存水平未来将高于目前的标准。此外公司认为 2022 年有望成为元宇宙投资元年,下游高速通信、智能汽车以及碳中和 等领域将带来电子终端设备的快速增长。硅片扩产方面,公司遵循根据客户订单进行逐步扩产的原则,目前公司产能持续满产, 但仍不能满足客户需求。2022 和 2023 年的原有设施扩产比较有限,新厂建设只能从 2024 年才能开始贡献产能,因为公司认为 300mm 硅片供不应求将持续一段时间。短期 内产能无法快速提升,设备供应商产能同样受限。2022 年有部分客户在提价的同时获 得更多产能,2023 年长协到期的合同比 2022 年少,价格会跟产量同步增长,2024 年 由于新产线的开出,公司预计价格会更上一个台阶。



环球晶圆:2022 年 ASP 预计进一步提升,2024 年前产能均已售罄



2021Q4 及全年营收创历史新高。得益于产能利用率提升、ASP 增加以及产品结构优化, 公司 2021Q4实现营收 158 亿新台币,同比增长 11.4%,环比连续九个季度增长。2021 年全年营收 611 亿新台币,同比增长 10.4%。2021Q4 单季度毛利率创历史新高,达到 41.3%,全年毛利率 38.1%,达到历史第二高水平。公司所有尺寸、所有型号的产能自 2021 年中开始都持续满载,新增订单价格上升,截至 2021 年底公司预收款达到 286 亿 新台币(约 10 亿美金),单四季度新增预收款 62 亿新台币。公司看到 2022 年预收款仍 在持续增加,并预计 2022 年仍将保持产能满载,ASP 增加以及产品结构优化。2022 年 前两个月,公司分别营收 52.16/53.56 亿新台币,同比增长 14.67%/16.15%。



下游需求旺盛,36 亿美金资本开支全球扩产。公司计划总资本开支 36 亿美金用于新建 产能及原有设施扩产。欧洲:意大利子公司 MEMC SPA,在原来的晶体生长模块规划基 础上,增加新的 12 英寸抛光和外延片硅片模块,共同构成公司在意大利的第一条完整 的 12 英寸硅片产线,预计 2023Q2 开始运行。欧洲除意大利外,丹麦产线也将进行扩 产;美国:扩产 300mm SOI 及 SiC 外延片;日本:扩产 300mm 退火片以及外延片;韩国:建设新硅片厂;中国台湾:扩产高端 300mm 外延片,并会大规模扩产 SiC 和 GaN 产能。2024 年前产能均已售罄。公司 2022、2023、2024 年产能已基本售罄,现有设施的扩 产几乎都被长协订单覆盖。展望 2022 年,ASP 预计较 2021 年进一步提升,从二季度开 始还会有新的长协订单增加。由于公司收购 Siltronic 的计划于今年 2 月才被德国政府否 决,因此与客户在新建产能(Greenfield)的长协订单仍在商定中,目前新厂寻址暂时 未定,仍需几个月时间确定,但与设备等供应商的协商已经在同步进行中。



2021 年全球硅片出货面积及营收双创新高。根据 SEMI 统计,全球整体来看,2021 年 半导体硅片营收规模达到 126 亿美元,出货面积达到 14,165 百万平方英尺。硅片已成 为数字化转型及新技术发展的重要承载体,受下游汽车、工业、物联网等旺盛需求驱动, SEMI 预计 2024 年全球出货面积增长到 16,037 百万平方英尺。



复盘半导体硅片价格,2009-2011 年在后金融危机影响下,全球主要硅片制造商取消扩 产计划导致供给端收缩,因此硅片价格呈小幅上升趋势。但 2012 年开始,硅片价格开 始不断下滑,硅片价格由 2012 年的 0.96 美元/平方英寸下降至 2016 年的 0.67 美元/平 方英寸,主要由于制造商扩产计划顺利实施使得硅片市场产能过剩。在经历了六年的持 续下滑后,硅片价格在 2017 年重回上升通道,2017-2019 年硅片价格由 0.74 美元/平 方英寸上涨至 0.95 美元/平方英寸,主要由于新能源汽车等新兴市场快速发展、5G手机 的快速渗透带来半导体终端市场需求强劲,市场供需结构发生变化。



我们认为,当前或再现 2016-2018 年上一轮全球半导体硅片需求和供给的“剪刀差”。上一轮硅片供需失衡在 2016-2018 年,根据 SEMI 数据,彼时硅片单位面积价格上涨了 33.5%,半导体硅片厂商营收及盈利水平实现了快速提升。我们认为此轮行业供需失衡 有望再现上一轮硅片供需“剪刀差”带来的硅片涨价,从硅片龙头厂商近期营收及法说 会口径可以看出,信越化学、SUMCO、GlobalWafers 等营收及业绩预期强劲,当前新 增产能有限背景下,涨价是重要营收驱动因素。同时海外龙头新增产能均预计在 2023 年下半年才能陆续开始爬坡,行业长协订单比重增加,我们判断当前硅片行业供需失衡 将至少持续至 2023 年底。



从中国台湾硅片进口数据也可以看到,上一轮“硅片剪刀差”2016-2018 年 12 英寸硅 片价格提升明显。本轮价格也有逐步提高的趋势,2021 年 12 月 ASP 较 2021 年 1 月提 升了5.1%,进入2022年价格提升更加明显,2022年2月ASP较2021年12月13.2%。考虑到台积电目前主要晶圆厂均位于中国台湾,台积电作为全球晶圆代工龙头,具有较强的议价能力,因此全球来看硅片价格提升具有较高的确定性。



十三、电子特气:需求空间大,拉开进口替代序幕



电子特种气体是集成电路、显示面板、光伏能源、光纤光缆等电子产业加工制造过程中 不可或缺的关键材料,其市场规模保持高速发展。2010-2018 年,我国电子特气市场规 模复合增速达 15.3%,2018 年我国电子特气市场规模达 121.56 亿元。其中,半导体制 造用电子特气市场规模约 45 亿元。根据前瞻产业研究院预测,2024 年我国电子特种气 体市场规模将达到 230 亿元,2018-2024 年复合增速将达 11.2%。电子特气将为中国新 兴产业的发展注入新动力。



电子特气按照用途可分为蚀刻及清洗气体、成膜气体、掺杂气体三大类。在半导体集成 电路中,电子气体主要应用于蚀刻、掺杂、CVD、清洗等。在晶圆制程中部分工艺涉及 气体刻蚀工艺的应用,主要涉及 CF4、NF3、HBr等;掺杂工艺即将杂质掺入特定的半导 体区域中以改变半导体的电学性质,需要用到三阶气体 B2H6、BF3以及五阶气体 PH3 、 AsH3 等;在硅片表面通过化学气相沉积成膜(CVD)工艺中,主要涉及 SiH4、SiCl4、 WF6等。在显示面板产业中,在薄膜工序中需要通过化学气相沉积在玻璃基板上沉积薄膜,需要 使用 SiH4、PH3、NF3 、NH3 等。在干法蚀刻工艺中,需要在等离子气态氛围中选择性 腐蚀基材,需要用到 SF6、HCl、Cl2 等;在 LED 产业中,外延技术需要高纯电子特气包 括高纯砷烷、高纯磷烷、高纯氨气,HCl和Cl2常常用做蚀刻气;在太阳能光伏产业中, 晶体硅电池片生产中的扩散工艺需要用到 POCl3,减反射层等 PECVD 工艺需要用到 SiH4、 NH3,蚀刻需要用到 CF4。薄膜太阳能电池在沉积透明导电膜工序中需要用到 B2H6等。



三氟化氮(NF3)是目前应用最广的电子特气,占全球电子气体产量约 50%。NF3 在卤 化氮中最稳定,是一种强氧化剂。在离子蚀刻时裂解为活性氟离子,氟离子对硅化合物、 钨化合物有优异的蚀刻速率和选择性。并且,三氟化氮在蚀刻时,蚀刻物表面不留任何 残留物,是良好的蚀刻、清洗剂。大量应用于半导体、液晶和薄膜太阳能电池生产工艺 中。



两个主要因素推进了我国电子特气的需求高速增长。首先,近年来电子气体下游产业技 术快速更迭。例如,集成电路领域晶圆尺寸从 6 寸、8 寸发展到 12 寸甚至 18 寸,制程 技术从 28nm 到 7nm;显示面板从 LCD 到刚性 OLED 再到柔性、可折叠 OLED 迭代;光 伏能源从晶体硅电池片向薄膜电池片发展等。下游产业的快速迭代让这些产业的关键性 材料电子特气的精细化程度持续提升。并且,由于全球半导体、显示面板等电子产业链 不断向亚洲、中国大陆地区转移,近年来以集成电路、显示面板为主的电子特气需求快 速增长。我国集成电路 2010-2018 年销售额复合增速达 20.8%,对电子特气的需求带 来了持续、强劲的拉动。



海外龙头在大陆占八成以上份额,国产替代空间巨大。根据 SEMI 及前瞻产业研究院, 2010-2018 年我国电子特气市场规模复合增速达 15.3%,2018 年我国电子特气市场规 模达 121.56 亿元。其中,半导体制造用电子特气市场规模约 45 亿元。海外大型气体公 司占据了中国电子大陆特气 80%以上的市场份额,美国空气化工、德国林普、日本昭 和电工、大阳日酸、法国液化空气为份额最大的5家海外公司,其中CR3为75%左右, CR5 为 85%左右,国产替代空间巨大。



凯美特气深耕气体行业三十余年,盈利水平居行业前列。凯美特气成立于 1991 年,以 石油化工尾气(废气)、火炬气为原料,生产和销售工业气体、医用气体、标准气体、 特种气体、混合气体、食品添加剂气体、电子化学品等气体产品。2018 年公司成立岳 阳凯美特电子特种稀有气体公司,布局电子气体,生产高纯度二氧化碳、氦气、氖气、 氩气、氟氩氖、氟氪氖等半导体、面板、航天、医疗等领域急需的超高纯气体和多元混 配气。



产品生产线布局循序渐进,充分利用公司自有优势提升效益。岳阳电子特气项目于 2020 年 7 月正式投产,目前已生产出的合格产品有:99.99996%二氧化碳、99.9999% 氦气、99.999%氖气、99.9999%氩气、99.999%氪气、99.9995%氙气、99.997%一 氧化碳、99.9999%氮气、99.9999%氢气、氯化氢基准分子激光配气、氟基准分子激 光混配气、动态激光混配气,已获得生产许可的产能有氪[压缩的或液化的]11750 Nm/年、氙[压缩的或液化的]900Nm/年、氖[压缩的或液化的]68000Nm/年等。同时,随着宜章电子特气项目建设公司将增加高纯氯化氢、氟化氢、溴化氢、氘代系列 气体等,进一步完善公司产品体系。



持续获得龙头客户认证,混配气有望开始放量。公司各类电子特气已通过或正进行在 下游全球各大龙头厂商处的认证,其中公司二氧化碳、氪、氙产品已于 2021 年 2 月通 过法国液化空气认证,公司用于 ExciStar 激光器的 193nm 激光混配气已于 2021 年 9 月 通过美国相干的设备测试,同时公司混配气产品在阿斯麦、大阳日酸等厂商的认证仍在 有序推进。参考公司氪、氙产品的认证与放量经历,公司混配气有望开始放量。



十四、湿电子化学品:内资龙头效应显著



湿电子化学品,也叫超净高纯试剂,为微电子、光电子湿法工艺制程中使用的各种电子 化工材料。主要用于半导体、太阳能硅片、LED 和平板显示等电子元器件的清洗和蚀刻 等工艺环节。按用途主要分为通用化学品和功能性化学品,其中通用化学品以高纯溶剂 为主,例如氧化氢、氢氟酸、硫酸、磷酸、盐酸、硝酸等;功能性化学品指通过复配手 段达到特殊功能、满足制造中特殊工艺需求的配方类或复配类化学品,主要包括显影液、 剥离液、清洗液、刻蚀液等。



湿电子化学品目前广泛应用在半导体、平板显示、太阳能电池等多个领域,湿电子化学 品在半导体晶圆制程中应用于晶圆清洗、刻蚀、显影和洗涤去毛刺等工艺,在晶圆领域 制造和封测领域应用分布广。国际半导体材料和设备组织(SEMI)制定了 5 个超纯净 试剂的国际分类标准,应用领域的不同对超纯净试剂要求的等级也不同,半导体领域要 求的等级比平板显示和光伏太阳能电池领域的要求高,基本集中在 SEMI3、G4 的水平, 我国的超纯净试剂研发水平与国际水平上游差距,大多集中在 G2 的水平。



全球的湿电子化学品市场大多被欧美和日本公司占据,其中欧美公司主要有 BASF、霍 尼韦尔、ATMI、杜邦、空气产品公司,营收合计占比 37%左右;日本公司主要有关东 化学、三菱化学、京都化工、住友化学、宇部兴产、森田化学等,营收合计占比 34% 左右;台湾地区和韩国公司主要有台湾东应化、台湾联士电子、鑫林科技、东友、东进 等,营收合计占比 17%左右。大陆企业主要有浙江凯圣、湖北兴福、上海新阳、苏州晶瑞、江化微、江阴润玛、杭州格仕达、贵州微顿品磷等,营收占全球市场 10%左右, 技术等级主要集中在 G2 以下仅有少部分企业达到 G4 以上标准。在众多工艺化学品企业中,上海新阳已成为先进封装和传统封装行业所需电镀与清洗化 学品的主流供应商,其超纯电镀硫酸铜电镀液已成功进入中芯国际、海力士的 28nm 大 马士革工艺制程,成为 Baseline 产品,进入工业化量产阶段;湖北兴福电子材料有限公 司磷酸、浙江凯圣氟化学有限公司氢氟酸等也都在 8-12 英寸工艺认证中取得较好效果, 即将投入量产应用。



十五、投资分析



15.1 设备



全球领先的晶圆代工厂将在 2021~2023 年之间进行大规模的半导体设备投资,当前 的行业热潮有望成为新一轮产业跃升的开端。根据 IC Insights,全球代工厂资本开支约 占半导体总体的 35%,头部代工厂 2022 年资本开支规划进一步提升。台积电 2021 年 CapEx 300 亿美金(用于 N3/N5/N7 的资本开支占 80%),预计 2022 年资本开支将提升 至 400-440 亿美金;联电 2021 年 CapEx 18 亿美金,预计 2022 年翻倍达到 36 亿美金 (其中 90%将用于 12 英寸晶圆);GlobalFoundries 于 2021 年 IPO 后资本开支大幅提 升用于扩产,公司 2020 年 CapEx 4.5 亿美金,2021 年提升至 16.6 亿美金,预计 2022 年超过 40 亿美金;中芯国际 2021 年资本开支维持高位,达到 45 亿美金(大部分用于 扩成熟制程,尤其是 8 寸数量扩 4.5 万片/月),预计 2022 年达到 50 亿美金。



2021 年全球半导体设备市场规模创 1026 亿美元新高,大陆首次占比全球第一。根据 SEMI,2021 年半导体设备销售额 1026 亿美元,同比激增 44%,全年销售额创历史新 高。大陆设备市场在 2013 年之前占全球比重为 10%以内,2014~2017 年提升至 10~20%,2018 年之后保持在 20%以上,份额呈逐年上行趋势。2020-2021 年,国内 晶圆厂投建、半导体行业加大投入,大陆半导体设备市场规模首次在市场全球排首位, 2021达到 296.2亿美元,同比增长 58%,占比 28.9%。展望 2022年,存储需求复苏, 韩国预计将领跑全球,但大陆设备市场规模有望保持较高比重。



全球设备五强占市场主导角色。全球设备竞争格局,主要前道工艺(刻蚀、沉积、涂胶、 热处理、清洗等)整合成三强 AMAT、LAM、TEL。另外,光刻机龙头 ASML 市占率 80%+;过程控制龙头 KLA 市占率 50%。根据 SEMI,ASML、AMAT、LAM Research、 TEL、KLA 五大厂商 2021 年收入合计 788 亿美元,占全球市场约 77%。国内国产化逐渐起航,从 0 到 1 的过程基本完成。北方华创刻蚀、沉积、炉管持续放 量;中微公司 CCP 打入 TSMC,ICP 加速放量;拓荆科技 PECVD 已广泛用于国内知名晶 圆厂 14nm 及以上制程产线;精测电子产品迭代加速,OCD、电子束进展超预期;华峰 测控订单饱满,新机台加速放量;Mattson(屹唐半导体)在去胶设备市占率全球第二。盛美半导体、至纯科技清洗设备逐步放量。精测电子、上海睿励在测量领域突破国外垄 断。



15.2 材料



半导体材料供应受限,国产替代进程加速。从半导体材料方面来看,美国从原材料供 应方面进行了限制,这直接致使例如 CMP 材料及电子特气这类美国高市占率产品存在 的断供的可能性,进一步推动国产 CMP 及气体厂商的需求及国产替代化进度。随着半 导体市场晶圆代工的持续扩产,对于晶圆制造中不可缺失的基础材料将会有着非常大的 需求拉动,而在此阶段我们可以看到随着技术及工艺的推进以及中国电子产业链逐步的 完善,在材料领域已经开始涌现出各类已经进入批量生产及供应的厂商。


(本文仅供参考,不代表我们的任何投资建议)


文琳编辑

免责声明:转载内容仅供读者参考,观点仅代表作者本人,不构成投资意见,也不代表本平台立场。若文章涉及版权问题,敬请原作者添加 wenlin-swl  微信联系删除。


为便于研究人员查找相关行业研究报告,特将2018年以来各期文章汇总。欢迎点击下面红色字体查阅!


文琳行业研究 2018年—2022年文章汇总


文琳编辑

今日导读:点击下面链接可查阅

公众号 :文琳行业研究

  1. 2022年618购物节白皮书(上下)

  2. 家用电器行业研究报告

  3. 2021家电行业制造+消费深度研究报告

  4. 家用电器行业研究:历经千帆,待柳暗花明

  5. 麦克风行业专题报告:MEMS麦克风,声学微型化趋势

  6. 2021中国厨卫市场年终总结-传统厨电

  7. PC电脑零售市场:挑战与机遇并行

  8. 2022中国冰箱市场消费趋势报告


文琳行研报告,为各机构提供专业的信息、数据、研究和咨询服务。欢迎关注【文琳行业研究】



《文琳资讯》

提供每日最新财经资讯,判断经济形势,做有价值的传播者。欢迎关注



今日导读:点击下面链接可查阅
公众号:文琳资讯
  1. 国常会重磅!筹资3000亿,支持重大项目建设!扩大有效投资、促进就业和消费…严肃查处滥用赋码

  2. 香港最近25年都取得了什么成就?

  3. 关于猴痘,国家卫健委最新提醒!

  4. 快速反弹!为什么大资金偏爱港股医疗?

  5. 全球市场开启风暴模式!

  6. 垄断论文数据库,“中国知网”竟是外资公司?

  7. 中国科协发布2022重大科学问题、工程技术难题和产业技术问题

  8. 俞敏洪称从来不看新东方股价;青海前首富肖永明被判刑;商汤回应股价暴跌

《文琳阅读》    有态度、有品位,阅读带来快乐,做时间的朋友,欢迎关注!




今日导读:点击下面链接可查阅

公众号 :文琳阅读

  1. 让自己变厉害的6种底层思维

  2. 上海公交车坠江事件里的这四个人,让我看到了中国人身上最顶级的高贵

  3. 朋友之间关系再好,也不要忽视的10条潜规则

  4. 当猫咪“入侵”世界名画,网友们都被萌翻了

  5. BBC一个很火的纪录片《如何减缓衰老》

  6. 音乐欣赏:《Half The World Away》你在世界的另一端

  7. 建议中老年人,少吃米饭和馒头,多吃这3样主食,胜过吃保健品

  8. 双语美文赏析|《父母之间的爱情》





继续滑动看下一个
向上滑动看下一个

您可能也对以下帖子感兴趣

文章有问题?点此查看未经处理的缓存